CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:nova_latest.tar

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    937.99kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

VERILOG source code of a H.264 baseline decoder.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

nova/
nova/branches/
nova/tags/
nova/tags/Start/
nova/tags/Start/src/
nova/tags/Start/src/NumCoeffTrailingOnes_decoding.v
nova/tags/Start/src/Inter_pred_CPE.v
nova/tags/Start/src/nova.v
nova/tags/Start/src/timescale.v
nova/tags/Start/src/nova_defines.v
nova/tags/Start/src/ext_RAM_ctrl.v
nova/tags/Start/src/Intra_pred_pipeline.v
nova/tags/Start/src/Beha_BitStream_ram.v
nova/tags/Start/src/Inter_pred_sliding_window.v
nova/tags/Start/src/ram_async_1r_sync_1w.v
nova/tags/Start/src/nova_tb.v
nova/tags/Start/src/rec_gclk_gen.v
nova/tags/Start/src/rec_DF_RAM1_wrapper.v
nova/tags/Start/src/Inter_pred_pipeline.v
nova/tags/Start/src/rec_DF_RAM0_wrapper.v
nova/tags/Start/src/IQIT.v
nova/tags/Start/src/dependent_variable_decoding.v
nova/tags/Start/src/Inter_mv_decoding.v
nova/tags/Start/src/cavlc_decoder.v
nova/tags/Start/src/total_zeros_decoding.v
nova/tags/Start/src/DF_reg_ctrl.v
nova/tags/Start/src/rec_DF_RAM_ctrl.v
nova/tags/Start/src/Intra_pred_PE.v
nova/tags/Start/src/ext_frame_RAM1_wrapper.v
nova/tags/Start/src/Inter_pred_LPE.v
nova/tags/Start/src/nC_decoding.v
nova/tags/Start/src/DF_top.v
nova/tags/Start/src/exp_golomb_decoding.v
nova/tags/Start/src/cavlc_consumed_bits_decoding.v
nova/tags/Start/src/BitStream_buffer.v
nova/tags/Start/src/BitStream_controller.v
nova/tags/Start/src/DF_pipeline.v
nova/tags/Start/src/pc_decoding.v
nova/tags/Start/src/level_decoding.v
nova/tags/Start/src/ram_sync_1r_sync_1w.v
nova/tags/Start/src/Intra_pred_top.v
nova/tags/Start/src/DF_mem_ctrl.v
nova/tags/Start/src/Intra4x4_PredMode_decoding.v
nova/tags/Start/src/CodedBlockPattern_decoding.v
nova/tags/Start/src/bs_decoding.v
nova/tags/Start/src/BitStream_parser_FSM_gating.v
nova/tags/Start/src/run_decoding.v
nova/tags/Start/src/Intra_pred_reg_ctrl.v
nova/tags/Start/src/Inter_pred_top.v
nova/tags/Start/src/bitstream_gclk_gen.v
nova/tags/Start/src/syntax_decoding.v
nova/tags/Start/src/hybrid_pipeline_ctrl.v
nova/tags/Start/src/sum.v
nova/tags/Start/src/reconstruction.v
nova/tags/Start/src/Inter_pred_reg_ctrl.v
nova/tags/Start/src/rec_DF_RAM0_96x32.v
nova/tags/Start/src/ext_frame_RAM0_wrapper.v
nova/tags/Start/src/QP_decoding.v
nova/tags/Start/src/rec_DF_RAM1_96x32.v
nova/tags/Start/src/heading_one_detector.v
nova/tags/Start/src/end_of_blk_decoding.v
nova/tags/Start/MISC/
nova/tags/Start/MISC/readme.txt
nova/tags/Start/doc/
nova/tags/Start/doc/readme.txt
nova/tags/Start/test/
nova/tags/Start/test/readme.txt
nova/web_uploads/
nova/trunk/
nova/trunk/src/
nova/trunk/src/NumCoeffTrailingOnes_decoding.v
nova/trunk/src/Inter_pred_CPE.v
nova/trunk/src/nova.v
nova/trunk/src/timescale.v
nova/trunk/src/nova_defines.v
nova/trunk/src/ext_RAM_ctrl.v
nova/trunk/src/Intra_pred_pipeline.v
nova/trunk/src/Beha_BitStream_ram.v
nova/trunk/src/Inter_pred_sliding_window.v
nova/trunk/src/ram_async_1r_sync_1w.v
nova/trunk/src/nova_tb.v
nova/trunk/src/rec_gclk_gen.v
nova/trunk/src/Inter_pred_pipeline.v
nova/trunk/src/IQIT.v
nova/trunk/src/dependent_variable_decoding.v
nova/trunk/src/Inter_mv_decoding.v
nova/trunk/src/cavlc_decoder.v
nova/trunk/src/total_zeros_decoding.v
nova/trunk/src/DF_reg_ctrl.v
nova/trunk/src/rec_DF_RAM_ctrl.v
nova/trunk/src/Intra_pred_PE.v
nova/trunk/src/ext_frame_RAM1_wrapper.v
nova/trunk/src/Inter_pred_LPE.v
nova/trunk/src/nC_decoding.v
nova/trunk/src/DF_top.v
nova/trunk/src/exp_golomb_decoding.v
nova/trunk/src/cavlc_consumed_bits_decoding.v
nova/trunk/src/BitStream_buffer.v
nova/trunk/src/BitStream_controller.v
nova/trunk/src/DF_pipeline.v
nova/trunk/src/pc_decoding.v
nova/trunk/src/level_decoding.v
nova/trunk/src/ram_sync_1r_sync_1w.v
nova/trunk/src/Intra_pred_top.v
nova/trunk/src/DF_mem_ctrl.v
nova/trunk/src/Intra4x4_PredMode_decoding.v
nova/trunk/src/CodedBlockPattern_decoding.v
nova/trunk/src/bs_decoding.v
nova/trunk/src/BitStream_parser_FSM_gating.v
nova/trunk/src/run_decoding.v
nova/trunk/src/Intra_pred_reg_ctrl.v
nova/trunk/src/Inter_pred_top.v
nova/trunk/src/bitstream_gclk_gen.v
nova/trunk/src/syntax_decoding.v
nova/trunk/src/hybrid_pipeline_ctrl.v
nova/trunk/src/sum.v
nova/trunk/src/reconstruction.v
nova/trunk/src/Inter_pred_reg_ctrl.v
nova/trunk/src/ext_frame_RAM0_wrapper.v
nova/trunk/src/QP_decoding.v
nova/trunk/src/heading_one_detector.v
nova/trunk/src/end_of_blk_decoding.v
nova/trunk/MISC/
nova/trunk/MISC/readme.txt
nova/trunk/doc/
nova/trunk/doc/readme.txt
nova/trunk/doc/nova_spec.doc
nova/trunk/test/
nova/trunk/test/readme.txt
nova/trunk/test/bin2hex.pl
nova/trunk/test/bitstream/
nova/trunk/test/bitstream/akiyo300_1ref.txt
nova/trunk/test/hex2bin.cpp

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com