CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:clock

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    2.08kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟,

实现分钟的增或者减。该设计包括以下几个部分:

(1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲;

(2)手动调节电路,包括“时增”“时减”“分增”“分减”。

(3)时分秒计时电路。

(4)7 段数码管显示电路。-Design with VHDL, digital clock, to achieve in the digital display minutes and seconds, and you can manually adjust the minutes, to achieve the increase or decrease minutes. The design includes the following sections: (1) frequency circuit design, produce 1Hz clock signal, as the second timing pulse (2) Manual adjustment circuit, including " the increase" " decrease the time" " point by" " sub- less. " (3), minute and second timing circuits. (4) 7-segment display circuit.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

clock.vhd

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com