CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:xc2v_verilog

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    79.06kb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

MIMO Simulation VHDL code
(系统自动生成,下载前可以参看下载内容)

下载文件列表

multipliers/readme_multipliers_verilog.txt
multipliers/verilog/
multipliers/verilog/MAGNTD_18.v
multipliers/verilog/mult17x17_u.v
multipliers/verilog/MULT18X18.v
multipliers/verilog/mult4x4_s.v
multipliers/verilog/mult4x4_u.v
multipliers/verilog/mult8x8_s.v
multipliers/verilog/mult8x8_u.v
multipliers/verilog/signed_mult_18x18.v
multipliers/verilog/signed_mult_4x4_rr.v
multipliers/verilog/signed_mult_8x8_rr.v
multipliers/verilog/TWOS_CMP18.v
multipliers/verilog/TWOS_CMP9.v
multipliers/verilog/unsigned_mult_17x17_rr.v
multipliers/verilog/unsigned_mult_4x4_rr.v
multipliers/verilog/unsigned_mult_8x8_rr.v
multipliers/
clock/readme_clock_verilog.txt
clock/verilog/
clock/verilog/BUFGCE_1_SUBM.v
clock/verilog/BUFGCE_SUBM.v
clock/verilog/BUFGMUX_1_INST.v
clock/verilog/BUFGMUX_INST.v
clock/
dcm/readme_dcm_verilog.txt
dcm/verilog/
dcm/verilog/BUFG_CLK0_FB_SUBM.v
dcm/verilog/BUFG_CLK0_SUBM.v
dcm/verilog/BUFG_CLK2X_FB_SUBM.v
dcm/verilog/BUFG_CLK2X_SUBM.v
dcm/verilog/BUFG_CLKDV_SUBM.v
dcm/verilog/BUFG_DFS_FB_SUBM.v
dcm/verilog/BUFG_DFS_SUBM.v
dcm/verilog/BUFG_PHASE_CLK0_SUBM.v
dcm/verilog/BUFG_PHASE_CLK2X_SUBM.v
dcm/verilog/BUFG_PHASE_CLKDV_SUBM.v
dcm/verilog/BUFG_PHASE_CLKFX_FB_SUBM.v
dcm/verilog/DCM_INST.v
dcm/
ddr/readme_ddr_verilog.txt
ddr/verilog/
ddr/verilog/DDR_3state.v
ddr/verilog/DDR_Input.v
ddr/verilog/DDR_Output.v
ddr/
distributed_ram/readme_distributed_ram_verilog.txt
distributed_ram/verilog/
distributed_ram/verilog/SelectRAM_128S.v
distributed_ram/verilog/SelectRAM_16D.v
distributed_ram/verilog/SelectRAM_16S.v
distributed_ram/verilog/SelectRAM_32D.v
distributed_ram/verilog/SelectRAM_32S.v
distributed_ram/verilog/SelectRAM_64D.v
distributed_ram/verilog/SelectRAM_64S.v
distributed_ram/verilog/XC2V_DISTRI_RAM_64S.v
distributed_ram/verilog/XC2V_RAM128XN_S.v
distributed_ram/verilog/XC2V_RAM16XN_D.v
distributed_ram/verilog/XC2V_RAM16XN_S.v
distributed_ram/verilog/XC2V_RAM32XN_D.v
distributed_ram/verilog/XC2V_RAM32XN_S.v
distributed_ram/verilog/XC2V_RAM64XN_D.v
distributed_ram/verilog/XC2V_RAM64XN_S.v
distributed_ram/
lvds/readme_lvds_verilog.txt
lvds/verilog/
lvds/verilog/DDR_LVDS_3STATE.v
lvds/verilog/DDR_LVDS_IN.v
lvds/verilog/DDR_LVDS_OUT.v
lvds/
multiplexers/readme_multiplexers_verilog.txt
multiplexers/verilog/
multiplexers/verilog/MUX_16_1.v
multiplexers/verilog/MUX_2_1.v
multiplexers/verilog/MUX_32_1.v
multiplexers/verilog/MUX_4_1.v
multiplexers/verilog/MUX_8_1.v
multiplexers/
blockram/readme_blockram_verilog.txt
blockram/verilog/
blockram/verilog/SelectRAM_A1.v
blockram/verilog/SelectRAM_A18.v
blockram/verilog/SelectRAM_A18_B18.v
blockram/verilog/SelectRAM_A18_B36.v
blockram/verilog/SelectRAM_A1_B1.v
blockram/verilog/SelectRAM_A1_B18.v
blockram/verilog/SelectRAM_A1_B2.v
blockram/verilog/SelectRAM_A1_B36.v
blockram/verilog/SelectRAM_A1_B4.v
blockram/verilog/SelectRAM_A1_B9.v
blockram/verilog/SelectRAM_A2.v
blockram/verilog/SelectRAM_A2_B18.v
blockram/verilog/SelectRAM_A2_B2.v
blockram/verilog/SelectRAM_A2_B36.v
blockram/verilog/SelectRAM_A2_B4.v
blockram/verilog/SelectRAM_A2_B9.v
blockram/verilog/SelectRAM_A36.v
blockram/verilog/SelectRAM_A36_B36.v
blockram/verilog/SelectRAM_A4.v
blockram/verilog/SelectRAM_A4_B18.v
blockram/verilog/SelectRAM_A4_B36.v
blockram/verilog/SelectRAM_A4_B4.v
blockram/verilog/SelectRAM_A4_B9.v
blockram/verilog/SelectRAM_A9.v
blockram/verilog/SelectRAM_A9_B18.v
blockram/verilog/SelectRAM_A9_B36.v
blockram/verilog/SelectRAM_A9_B9.v
blockram/verilog/XC2V_RAMB_1_PORT.v
blockram/
shift_registers/readme_shift_registers_verilog.txt
shift_registers/verilog/
shift_registers/verilog/SRL16E.v
shift_registers/verilog/SRLC128E.v
shift_registers/verilog/SRLC128E_MACRO.v
shift_registers/verilog/SRLC16E.v
shift_registers/verilog/SRLC32E.v
shift_registers/verilog/SRLC32E_MACRO.v
shift_registers/verilog/SRLC64E.v
shift_registers/verilog/SRLC64E_MACRO.v
shift_registers/
sum_of_products/readme_sum_of_products_verilog.txt
sum_of_products/verilog/
sum_of_products/verilog/and_chain.v
sum_of_products/verilog/AND_LOGIC.v
sum_of_products/verilog/SOP_SUBM.v
sum_of_products/
readme_verilog.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com