CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:xc2v_vhdl

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    95.41kb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

Verilog Code for MIMO system
(系统自动生成,下载前可以参看下载内容)

下载文件列表

dcm/readme_dcm_vhdl.txt
dcm/vhdl/
dcm/vhdl/BUFG_CLK0_FB_SUBM.vhd
dcm/vhdl/BUFG_CLK0_SUBM.vhd
dcm/vhdl/BUFG_CLK2X_FB_SUBM.vhd
dcm/vhdl/BUFG_CLK2X_SUBM.vhd
dcm/vhdl/BUFG_CLKDV_SUBM.vhd
dcm/vhdl/BUFG_DFS_FB_SUBM.vhd
dcm/vhdl/BUFG_DFS_SUBM.vhd
dcm/vhdl/BUFG_PHASE_CLK0_SUBM.vhd
dcm/vhdl/BUFG_PHASE_CLK2X_SUBM.vhd
dcm/vhdl/BUFG_PHASE_CLKDV_SUBM.vhd
dcm/vhdl/BUFG_PHASE_CLKFX_FB_SUBM.vhd
dcm/vhdl/DCM_INST.vhd
dcm/
clock/readme_clock_vhdl.txt
clock/vhdl/
clock/vhdl/BUFGCE_1_SUBM.vhd
clock/vhdl/BUFGCE_SUBM.vhd
clock/vhdl/BUFGMUX_1_INST.vhd
clock/vhdl/BUFGMUX_INST.vhd
clock/
blockram/readme_blockram_vhdl.txt
blockram/vhdl/
blockram/vhdl/SelectRAM_A1.vhd
blockram/vhdl/SelectRAM_A18.vhd
blockram/vhdl/SelectRAM_A18_B18.vhd
blockram/vhdl/SelectRAM_A18_B36.vhd
blockram/vhdl/SelectRAM_A1_B1.vhd
blockram/vhdl/SelectRAM_A1_B18.vhd
blockram/vhdl/SelectRAM_A1_B2.vhd
blockram/vhdl/SelectRAM_A1_B36.vhd
blockram/vhdl/SelectRAM_A1_B4.vhd
blockram/vhdl/SelectRAM_A1_B9.vhd
blockram/vhdl/SelectRAM_A2.vhd
blockram/vhdl/SelectRAM_A2_B18.vhd
blockram/vhdl/SelectRAM_A2_B2.vhd
blockram/vhdl/SelectRAM_A2_B36.vhd
blockram/vhdl/SelectRAM_A2_B4.vhd
blockram/vhdl/SelectRAM_A2_B9.vhd
blockram/vhdl/SelectRAM_A36.vhd
blockram/vhdl/SelectRAM_A36_B36.vhd
blockram/vhdl/SelectRAM_A4.vhd
blockram/vhdl/SelectRAM_A4_36.vhd
blockram/vhdl/SelectRAM_A4_B18.vhd
blockram/vhdl/SelectRAM_A4_B4.vhd
blockram/vhdl/SelectRAM_A4_B9.vhd
blockram/vhdl/SelectRAM_A9.vhd
blockram/vhdl/SelectRAM_A9_36.vhd
blockram/vhdl/SelectRAM_A9_B18.vhd
blockram/vhdl/SelectRAM_A9_B9.vhd
blockram/vhdl/XC2V_RAMB_1_PORT.vhd
blockram/
ddr/readme_ddr_vhdl.txt
ddr/vhdl/
ddr/vhdl/DDR_3state.vhd
ddr/vhdl/DDR_Input.vhd
ddr/vhdl/DDR_Output.vhd
ddr/
distributed_ram/readme_distributed_ram_vhdl.txt
distributed_ram/vhdl/
distributed_ram/vhdl/SelectRAM_128S.vhd
distributed_ram/vhdl/SelectRAM_16D.vhd
distributed_ram/vhdl/SelectRAM_16S.vhd
distributed_ram/vhdl/SelectRAM_32D.vhd
distributed_ram/vhdl/SelectRAM_32S.vhd
distributed_ram/vhdl/SelectRAM_64D.vhd
distributed_ram/vhdl/SelectRAM_64S.vhd
distributed_ram/vhdl/XC2V_DISTRI_RAM_64S.vhd
distributed_ram/vhdl/XC2V_RAM128XN_S.vhd
distributed_ram/vhdl/XC2V_RAM16XN_D.vhd
distributed_ram/vhdl/XC2V_RAM16XN_S.vhd
distributed_ram/vhdl/XC2V_RAM32XN_D.vhd
distributed_ram/vhdl/XC2V_RAM32XN_S.vhd
distributed_ram/vhdl/XC2V_RAM64XN_D.vhd
distributed_ram/vhdl/XC2V_RAM64XN_S.vhd
distributed_ram/
lvds/readme_lvds_vhdl.txt
lvds/vhdl/
lvds/vhdl/DDR_LVDS_3STATE.vhd
lvds/vhdl/DDR_LVDS_IN.vhd
lvds/vhdl/DDR_LVDS_OUT.vhd
lvds/
multiplexers/readme_multiplexers_vhdl.txt
multiplexers/vhdl/
multiplexers/vhdl/MUX_16_1_SUBM.vhd
multiplexers/vhdl/MUX_2_1_SUBM.vhd
multiplexers/vhdl/MUX_32_1_SUBM.vhd
multiplexers/vhdl/MUX_4_1_SUBM.vhd
multiplexers/vhdl/MUX_8_1_SUBM.vhd
multiplexers/
multipliers/readme_multipliers_vhdl.txt
multipliers/vhdl/
multipliers/vhdl/MAGNTD_18.vhd
multipliers/vhdl/mult17x17_u.vhd
multipliers/vhdl/MULT18X18.vhd
multipliers/vhdl/mult4x4_s.vhd
multipliers/vhdl/mult4x4_u.vhd
multipliers/vhdl/mult8x8_s.vhd
multipliers/vhdl/mult8x8_u.vhd
multipliers/vhdl/signed_mult_18x18.vhd
multipliers/vhdl/signed_mult_4x4_rr.vhd
multipliers/vhdl/signed_mult_8x8_rr.vhd
multipliers/vhdl/TWOS_CMP18.vhd
multipliers/vhdl/TWOS_CMP9.vhd
multipliers/vhdl/unsigned_mult_17x17_rr.vhd
multipliers/vhdl/unsigned_mult_4x4_rr.vhd
multipliers/vhdl/unsigned_mult_8x8_rr.vhd
multipliers/
shift_registers/readme_shift_registers_vhdl.txt
shift_registers/vhdl/
shift_registers/vhdl/SHIFT_REGISTER_16.vhd
shift_registers/vhdl/SHIFT_REGISTER_C_128.vhd
shift_registers/vhdl/SHIFT_REGISTER_C_16.vhd
shift_registers/vhdl/SHIFT_REGISTER_C_32.vhd
shift_registers/vhdl/SHIFT_REGISTER_C_64.vhd
shift_registers/vhdl/SRLC128E_MACRO.vhd
shift_registers/vhdl/SRLC32E_MACRO.vhd
shift_registers/vhdl/SRLC64E_MACRO.vhd
shift_registers/
sum_of_products/readme_sum_of_products_vhdl.txt
sum_of_products/vhdl/
sum_of_products/vhdl/and_chain.vhd
sum_of_products/vhdl/AND_LOGIC.vhd
sum_of_products/vhdl/SOP_SUBM.vhd
sum_of_products/
readme_vhdl.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com