CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:fir-filter

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    6.91mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

fft的vhdl实现源代码,具体的有心情有兴趣的可以自己下载下来看下,因为我也是在入门中不懂。-fft verilog HDL
(系统自动生成,下载前可以参看下载内容)

下载文件列表

fir filter/Block1.bdf
fir filter/count.bsf
fir filter/count.v.bak
fir filter/count1.bsf
fir filter/count1.v
fir filter/count1.v.bak
fir filter/db/altsyncram_0091.tdf
fir filter/db/altsyncram_3a81.tdf
fir filter/db/altsyncram_5a81.tdf
fir filter/db/altsyncram_b091.tdf
fir filter/db/altsyncram_c091.tdf
fir filter/db/altsyncram_d091.tdf
fir filter/db/altsyncram_e091.tdf
fir filter/db/altsyncram_f091.tdf
fir filter/db/altsyncram_g091.tdf
fir filter/db/altsyncram_h091.tdf
fir filter/db/altsyncram_i091.tdf
fir filter/db/altsyncram_o791.tdf
fir filter/db/altsyncram_p991.tdf
fir filter/db/altsyncram_tv81.tdf
fir filter/db/cntr_1of.tdf
fir filter/db/cntr_2of.tdf
fir filter/db/fir.(0).cnf.cdb
fir filter/db/fir.(0).cnf.hdb
fir filter/db/fir.(1).cnf.cdb
fir filter/db/fir.(1).cnf.hdb
fir filter/db/fir.(10).cnf.cdb
fir filter/db/fir.(10).cnf.hdb
fir filter/db/fir.(11).cnf.cdb
fir filter/db/fir.(11).cnf.hdb
fir filter/db/fir.(12).cnf.cdb
fir filter/db/fir.(12).cnf.hdb
fir filter/db/fir.(13).cnf.cdb
fir filter/db/fir.(13).cnf.hdb
fir filter/db/fir.(14).cnf.cdb
fir filter/db/fir.(14).cnf.hdb
fir filter/db/fir.(15).cnf.cdb
fir filter/db/fir.(15).cnf.hdb
fir filter/db/fir.(16).cnf.cdb
fir filter/db/fir.(16).cnf.hdb
fir filter/db/fir.(17).cnf.cdb
fir filter/db/fir.(17).cnf.hdb
fir filter/db/fir.(18).cnf.cdb
fir filter/db/fir.(18).cnf.hdb
fir filter/db/fir.(19).cnf.cdb
fir filter/db/fir.(19).cnf.hdb
fir filter/db/fir.(2).cnf.cdb
fir filter/db/fir.(2).cnf.hdb
fir filter/db/fir.(20).cnf.cdb
fir filter/db/fir.(20).cnf.hdb
fir filter/db/fir.(21).cnf.cdb
fir filter/db/fir.(21).cnf.hdb
fir filter/db/fir.(22).cnf.cdb
fir filter/db/fir.(22).cnf.hdb
fir filter/db/fir.(23).cnf.cdb
fir filter/db/fir.(23).cnf.hdb
fir filter/db/fir.(24).cnf.cdb
fir filter/db/fir.(24).cnf.hdb
fir filter/db/fir.(25).cnf.cdb
fir filter/db/fir.(25).cnf.hdb
fir filter/db/fir.(26).cnf.cdb
fir filter/db/fir.(26).cnf.hdb
fir filter/db/fir.(28).cnf.cdb
fir filter/db/fir.(28).cnf.hdb
fir filter/db/fir.(29).cnf.cdb
fir filter/db/fir.(29).cnf.hdb
fir filter/db/fir.(3).cnf.cdb
fir filter/db/fir.(3).cnf.hdb
fir filter/db/fir.(30).cnf.cdb
fir filter/db/fir.(30).cnf.hdb
fir filter/db/fir.(31).cnf.cdb
fir filter/db/fir.(31).cnf.hdb
fir filter/db/fir.(4).cnf.cdb
fir filter/db/fir.(4).cnf.hdb
fir filter/db/fir.(5).cnf.cdb
fir filter/db/fir.(5).cnf.hdb
fir filter/db/fir.(6).cnf.cdb
fir filter/db/fir.(6).cnf.hdb
fir filter/db/fir.(7).cnf.cdb
fir filter/db/fir.(7).cnf.hdb
fir filter/db/fir.(8).cnf.cdb
fir filter/db/fir.(8).cnf.hdb
fir filter/db/fir.(9).cnf.cdb
fir filter/db/fir.(9).cnf.hdb
fir filter/db/fir.asm.qmsg
fir filter/db/fir.asm_labs.ddb
fir filter/db/fir.cbx.xml
fir filter/db/fir.cmp.bpm
fir filter/db/fir.cmp.cdb
fir filter/db/fir.cmp.ecobp
fir filter/db/fir.cmp.hdb
fir filter/db/fir.cmp.logdb
fir filter/db/fir.cmp.rdb
fir filter/db/fir.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
fir filter/db/fir.cuda_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
fir filter/db/fir.db_info
fir filter/db/fir.eco.cdb
fir filter/db/fir.eds_overflow
fir filter/db/fir.fit.qmsg
fir filter/db/fir.fnsim.hdb
fir filter/db/fir.fnsim.qmsg
fir filter/db/fir.hier_info
fir filter/db/fir.hif
fir filter/db/fir.map.bpm
fir filter/db/fir.map.cdb
fir filter/db/fir.map.ecobp
fir filter/db/fir.map.hdb
fir filter/db/fir.map.logdb
fir filter/db/fir.map.qmsg
fir filter/db/fir.map_bb.cdb
fir filter/db/fir.map_bb.hdb
fir filter/db/fir.map_bb.hdbx
fir filter/db/fir.map_bb.logdb
fir filter/db/fir.pre_map.cdb
fir filter/db/fir.pre_map.hdb
fir filter/db/fir.psp
fir filter/db/fir.root_partition.cmp.atm
fir filter/db/fir.root_partition.cmp.dfp
fir filter/db/fir.root_partition.cmp.hdbx
fir filter/db/fir.root_partition.cmp.logdb
fir filter/db/fir.root_partition.cmp.rcf
fir filter/db/fir.root_partition.map.atm
fir filter/db/fir.root_partition.map.hdbx
fir filter/db/fir.root_partition.map.info
fir filter/db/fir.rpp.qmsg
fir filter/db/fir.rtlv.hdb
fir filter/db/fir.rtlv_sg.cdb
fir filter/db/fir.rtlv_sg_swap.cdb
fir filter/db/fir.sgate.rvd
fir filter/db/fir.sgate_sm.rvd
fir filter/db/fir.sgdiff.cdb
fir filter/db/fir.sgdiff.hdb
fir filter/db/fir.signalprobe.cdb
fir filter/db/fir.sim.cvwf
fir filter/db/fir.sim.hdb
fir filter/db/fir.sim.qmsg
fir filter/db/fir.sim.rdb
fir filter/db/fir.simfam
fir filter/db/fir.sim_ori.vwf
fir filter/db/fir.sld_design_entry.sci
fir filter/db/fir.sld_design_entry_dsc.sci
fir filter/db/fir.sta.qmsg
fir filter/db/fir.sta.rdb
fir filter/db/fir.sta_cmp.8_slow_1200mv_85c.tdb
fir filter/db/fir.syn_hier_info
fir filter/db/fir.tiscmp.fastest_slow_1200mv_0c.ddb
fir filter/db/fir.tiscmp.fastest_slow_1200mv_85c.ddb
fir filter/db/fir.tiscmp.fast_1200mv_0c.ddb
fir filter/db/fir.tiscmp.slow_1200mv_0c.ddb
fir filter/db/fir.tiscmp.slow_1200mv_85c.ddb
fir filter/db/fir.tis_db_list.ddb
fir filter/db/fir.tmw_info
fir filter/db/prev_cmp_fir.asm.qmsg
fir filter/db/prev_cmp_fir.fit.qmsg
fir filter/db/prev_cmp_fir.map.qmsg
fir filter/db/prev_cmp_fir.qmsg
fir filter/db/prev_cmp_fir.sim.qmsg
fir filter/db/prev_cmp_fir.sta.qmsg
fir filter/db/shift_taps_p3m.tdf
fir filter/db/shift_taps_q3m.tdf
fir filter/db/wed.wsf
fir filter/fir.asm.rpt
fir f

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com