CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程

文件名称:TVHDL_FFT_souh

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    29.48kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于VHDL的FFT的源代码,,包能使用,放心下载。可直接使用。

-Of VHDL FFT-based source code, the package can use, rest assured download. Can be used directly.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/and_gates.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/baseindex.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/but.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/butter_lib.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/comm.txt
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/control2.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/controller.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/counter.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/cycles_but.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/desktop.ini
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/dff.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/divide.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/FLOAT2.PIF
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/FLOAT_RE.TXT
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/IEEE_TO_.PIF
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/ioadd.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/iod_staged.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/lblock.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/mult.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/multiply.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/mux_add.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/mux_but.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/negate.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/normalize.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/out_result.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/print.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/ram.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/ram_shift.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/rblock.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/result.txt
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/rom.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/romadd_gen.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/rom_ram.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/shift2.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/simili.lst
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/stage.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/subtractor.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/summer.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/swap.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/synth_main.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL/synth_test.vhd
TVHDL_FFT_souh/VHDL_FFT1/FFT的VHDL
TVHDL_FFT_souh/VHDL_FFT1
TVHDL_FFT_souh

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com