CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料 其它文档

资源列表

« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 ... 196 »
  1. 水木清华嵌入式版精华贴网页版.7z

    0下载:
  2. 所属分类:其它文档

  1. corba 程序设计入门

    0下载:
  2. corba 编程
  3. 所属分类:其它文档

    • 发布日期:2009-02-27
    • 文件大小:823108
    • 提供者:lwk9617@163.com
  1. SmartGrid.chm

    0下载:
  2. SmartGrid用户手册
  3. 所属分类:其它文档

    • 发布日期:2009-02-28
    • 文件大小:220093
    • 提供者:abcbbc
  1. 3GPP2 C.S0014-A - Enhanced Variable Rate Codec, Speech Service Option 3 for Wideband Spread Spectrum Digital Systems

    0下载:
  2. a document about QCELP
  3. 所属分类:其它文档

    • 发布日期:2009-03-02
    • 文件大小:2083085
    • 提供者:wjjsun@eyou.com
  1. 深入BREW开发.rar

    0下载:
  2. 学习BREW很好的资料
  3. 所属分类:其它文档

  1. costas matlab

    0下载:
  2. matlab 模型(costas)
  3. 所属分类:其它文档

    • 发布日期:2009-03-03
    • 文件大小:17446
    • 提供者:txfatm
  1. 《通信原理》习题参考

    0下载:
  2. 《通信原理》习题参考
  3. 所属分类:其它文档

  1. 技术报告

    0下载:
  2. 技术报告 第三届“飞思卡尔”杯全国大学生 智能汽车邀请赛 技 术 报 告
  3. 所属分类:其它文档

    • 发布日期:2009-03-03
    • 文件大小:2391126
    • 提供者:qianlai
  1. mean-shift算法综述

    0下载:
  2. Mean-shift 算法集合要点
  3. 所属分类:其它文档

  1. Apache Server源代码分析

    0下载:
  2. Apache Server源代码分析
  3. 所属分类:其它文档

    • 发布日期:2009-03-05
    • 文件大小:7664218
    • 提供者:dqwjack
  1. isight8.0入门教程(一)

    0下载:
  2. 对入门很有好处!
  3. 所属分类:其它文档

    • 发布日期:2009-03-06
    • 文件大小:506117
    • 提供者:alundilong
  1. 认知无线电技术的国内外发展和研究现状

    0下载:
  2. 介绍了认知无线电技术的国内外发展和研究现状。
  3. 所属分类:其它文档

    • 发布日期:2009-03-06
    • 文件大小:1184008
    • 提供者:sw04zsm
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 ... 196 »
搜珍网 www.dssz.com