CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:divider

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2013-05-06
  • 文件大小:
    1.27mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于移位相减运算的除法器设计,完整的设计工程文件在divider文件夹下-Based on the shift subtraction divider design, complete design project file divider file folder
(系统自动生成,下载前可以参看下载内容)

下载文件列表

divider/__ISE_repository_divider.ise_.lock
divider/_ngo/
divider/_ngo/netlist.lst
divider/_xmsgs/
divider/_xmsgs/fuse.xmsgs
divider/_xmsgs/map.xmsgs
divider/_xmsgs/netgen.xmsgs
divider/_xmsgs/ngdbuild.xmsgs
divider/_xmsgs/par.xmsgs
divider/_xmsgs/trce.xmsgs
divider/_xmsgs/vhpcomp.xmsgs
divider/_xmsgs/xst.xmsgs
divider/divider.ise
divider/divider.ise_ISE_Backup
divider/divider.ntrc_log
divider/divider.restore
divider/isim.cmd
divider/isim.hdlsourcefiles
divider/isim.log
divider/isim.tmp_save/
divider/isim.tmp_save/_1
divider/isim/
divider/isim/file graph/
divider/isim/simprim.auxlib/
divider/isim/simprim.auxlib/hdllib.ref
divider/isim/simprim.auxlib/vcomponents/
divider/isim/simprim.auxlib/vcomponents/mingw/
divider/isim/simprim.auxlib/vcomponents/mingw/vcomponents.obj
divider/isim/simprim.auxlib/vcomponents/vcomponents.h
divider/isim/simprim.auxlib/vpackage/
divider/isim/simprim.auxlib/vpackage/mingw/
divider/isim/simprim.auxlib/vpackage/mingw/vpackage.obj
divider/isim/simprim.auxlib/vpackage/vpackage.h
divider/isim/simprim.auxlib/x_buf/
divider/isim/simprim.auxlib/x_buf/mingw/
divider/isim/simprim.auxlib/x_buf/mingw/x_buf_v.obj
divider/isim/simprim.auxlib/x_buf/x_buf_v.h
divider/isim/simprim.auxlib/x_bufgmux/
divider/isim/simprim.auxlib/x_bufgmux/mingw/
divider/isim/simprim.auxlib/x_bufgmux/mingw/x_bufgmux_v.obj
divider/isim/simprim.auxlib/x_bufgmux/x_bufgmux_v.h
divider/isim/simprim.auxlib/x_ff/
divider/isim/simprim.auxlib/x_ff/mingw/
divider/isim/simprim.auxlib/x_ff/mingw/x_ff_v.obj
divider/isim/simprim.auxlib/x_ff/x_ff_v.h
divider/isim/simprim.auxlib/x_inv/
divider/isim/simprim.auxlib/x_inv/mingw/
divider/isim/simprim.auxlib/x_inv/mingw/x_inv_v.obj
divider/isim/simprim.auxlib/x_inv/x_inv_v.h
divider/isim/simprim.auxlib/x_lut4/
divider/isim/simprim.auxlib/x_lut4/mingw/
divider/isim/simprim.auxlib/x_lut4/mingw/x_lut4_v.obj
divider/isim/simprim.auxlib/x_lut4/x_lut4_v.h
divider/isim/simprim.auxlib/x_mux2/
divider/isim/simprim.auxlib/x_mux2/mingw/
divider/isim/simprim.auxlib/x_mux2/mingw/x_mux2_v.obj
divider/isim/simprim.auxlib/x_mux2/x_mux2_v.h
divider/isim/simprim.auxlib/x_obuf/
divider/isim/simprim.auxlib/x_obuf/mingw/
divider/isim/simprim.auxlib/x_obuf/mingw/x_obuf_v.obj
divider/isim/simprim.auxlib/x_obuf/x_obuf_v.h
divider/isim/simprim.auxlib/x_one/
divider/isim/simprim.auxlib/x_one/mingw/
divider/isim/simprim.auxlib/x_one/mingw/x_one_v.obj
divider/isim/simprim.auxlib/x_one/x_one_v.h
divider/isim/simprim.auxlib/x_roc/
divider/isim/simprim.auxlib/x_roc/mingw/
divider/isim/simprim.auxlib/x_roc/mingw/x_roc_v.obj
divider/isim/simprim.auxlib/x_roc/x_roc_v.h
divider/isim/simprim.auxlib/x_toc/
divider/isim/simprim.auxlib/x_toc/mingw/
divider/isim/simprim.auxlib/x_toc/mingw/x_toc_v.obj
divider/isim/simprim.auxlib/x_toc/x_toc_v.h
divider/isim/simprim.auxlib/x_zero/
divider/isim/simprim.auxlib/x_zero/mingw/
divider/isim/simprim.auxlib/x_zero/mingw/x_zero_v.obj
divider/isim/simprim.auxlib/x_zero/x_zero_v.h
divider/isim/work/
divider/isim/work/hdllib.ref
divider/isim/work/hdpdeps.ref
divider/isim/work/sub00/
divider/isim/work/sub00/vhpl00.vho
divider/isim/work/sub00/vhpl01.vho
divider/isim/work/sub00/vhpl02.vho
divider/isim/work/sub00/vhpl03.vho
divider/isim/work/sub00/vhpl04.vho
divider/isim/work/test/
divider/isim/work/test/mingw/
divider/isim/work/test/mingw/testbench_arch.obj
divider/isim/work/test/testbench_arch.h
divider/isim/work/test/xsimtestbench_arch.cpp
divider/isim/work/top/
divider/isim/work/top/behavioral.h
divider/isim/work/top/mingw/
divider/isim/work/top/mingw/behavioral.obj
divider/isim/work/top/mingw/structure.obj
divider/isim/work/top/structure.h
divider/isimwavedata.xwv
divider/netgen/
divider/netgen/par/
divider/netgen/par/top_timesim.nlf
divider/netgen/par/top_timesim.sdf
divider/netgen/par/top_timesim.vhd
divider/pepExtractor.prj
divider/results.txt
divider/simulate_dofile.log
divider/simulate_dofile.log_back
divider/test.ant
divider/test.jhd
divider/test.tbw
divider/test.vhw
divider/test.xwv
divider/test.xwv_bak
divider/test_beh.prj
divider/test_bencher.prj
divider/test_isim_beh.exe
divider/test_isim_beh.wfs
divider/test_isim_par.exe
divider/test_isim_par.wfs
divider/test_par.prj
divider/top.bld
divider/top.cmd_log
divider/top.lso
divider/top.ncd
divider/top.ngc
divider/top.ngd
divider/top.ngr
divider/top.pad
divider/top.par
divider/top.pcf
divider/top.prj
divider/top.stx
divider/top.syr
divider/top.twr
divider/top.twx
divider/top.unroutes
divider/top.vhd
divider/top.xpi
divider/top.xst
divider/top_guide.ncd
divider/top_map.map
divider/top_map.mrp
divider/top_map.ncd
divider/top_map.ngm
divider/top_pad.csv
divider/top_pad.txt
divider/top_prev_built.ngd
divider/top_summary.html
divider/top_summary.xml
divider/top_usage.xml
divider/top_vhdl.prj
divider/xilinxsim.ini
divider/xst/
divider/xst/dump.xst/
divider/xst/dump.xst/top.prj/
divider/xst/dump.xst/top.prj/ngx/
divider/xst/dump.xst/top.prj/ngx/notopt/
divider/xst/dump.xst/top.prj/ngx/opt/
divider/xst/dump.xst/top.prj/ntrc.scr
divider/xst/projnav.tmp/
divider/xst/work/
divider/xst/work/hdllib.ref
divider/xst/work/hdpdeps.ref
divider/xst/work/sub00/
divider/xs

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com