CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 其他嵌入式/单片机内容

文件名称:memory2.0

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2013-07-16
  • 文件大小:
    23.81mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用FPGA作为控制核心,控制FLASH存储芯片存储状态,实现数据的大容量存储。-Using FPGA as the core control chip FLASH memory storage status control, high-capacity data storage.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

memory2.0/.lso
memory2.0/address.prj
memory2.0/address.spl
memory2.0/address.stx
memory2.0/address.sym
memory2.0/address.vhd
memory2.0/address.xst
memory2.0/address1.prj
memory2.0/address1.spl
memory2.0/address1.stx
memory2.0/address1.sym
memory2.0/address1.vhd
memory2.0/address1.xst
memory2.0/address1_vhdl.prj
memory2.0/address_vhdl.prj
memory2.0/arwz.log
memory2.0/automake.log
memory2.0/bitgen.ut
memory2.0/cc10ce.cmd_log
memory2.0/cc10ce.jhd
memory2.0/cc10ce.sch
memory2.0/cc10ce.sym
memory2.0/cc10ce.vhf
memory2.0/cc10ce1.cmd_log
memory2.0/cc10ce1.jhd
memory2.0/cc10ce1.sch
memory2.0/cc10ce1.sym
memory2.0/cc10ce1.vhf
memory2.0/clk_ctr.spl
memory2.0/clk_ctr.sym
memory2.0/clk_ctr.vhd
memory2.0/clk_ctr.xaw
memory2.0/clk_ctr_arwz.ucf
memory2.0/clk_out.cmd_log
memory2.0/clk_out.jhd
memory2.0/clk_out.lso
memory2.0/clk_out.ngc
memory2.0/clk_out.ngr
memory2.0/clk_out.prj
memory2.0/clk_out.sch
memory2.0/clk_out.stx
memory2.0/clk_out.sym
memory2.0/clk_out.syr
memory2.0/clk_out.vhf
memory2.0/clk_out_summary.html
memory2.0/clock_ctr.sym
memory2.0/clock_ctr.vhd
memory2.0/clock_select.cmd_log
memory2.0/clock_select.lso
memory2.0/clock_select.ngc
memory2.0/clock_select.ngr
memory2.0/clock_select.prj
memory2.0/clock_select.spl
memory2.0/clock_select.stx
memory2.0/clock_select.sym
memory2.0/clock_select.syr
memory2.0/clock_select.vhd
memory2.0/clock_select.xst
memory2.0/clock_select_summary.html
memory2.0/clock_select_vhdl.prj
memory2.0/core.tpl
memory2.0/coregen.cgp
memory2.0/coregen.log
memory2.0/ctr.jhd
memory2.0/delay.spl
memory2.0/delay.sym
memory2.0/delay.vhd
memory2.0/delay.xaw
memory2.0/delay_arwz.ucf
memory2.0/device_usage_statistics.html
memory2.0/edit_fifo4k.tcl
memory2.0/edit_fifo8k.tcl
memory2.0/fifo4k.asy
memory2.0/fifo4k.ngc
memory2.0/fifo4k.sym
memory2.0/fifo4k.v
memory2.0/fifo4k.veo
memory2.0/fifo4k.vhd
memory2.0/fifo4k.vho
memory2.0/fifo4k.xco
memory2.0/fifo4k_flist.txt
memory2.0/fifo4k_readme.txt
memory2.0/fifo4k_xmdf.tcl
memory2.0/fifo8k.asy
memory2.0/fifo8k.ngc
memory2.0/fifo8k.sym
memory2.0/fifo8k.v
memory2.0/fifo8k.veo
memory2.0/fifo8k.vhd
memory2.0/fifo8k.vho
memory2.0/fifo8k.xco
memory2.0/fifo8k_flist.txt
memory2.0/fifo8k_readme.txt
memory2.0/fifo8k_xmdf.tcl
memory2.0/flash.cmd_log
memory2.0/flash.lso
memory2.0/flash.ngc
memory2.0/flash.ngr
memory2.0/flash.prj
memory2.0/flash.spl
memory2.0/flash.stx
memory2.0/flash.sym
memory2.0/flash.syr
memory2.0/flash.vhd
memory2.0/flash.xst
memory2.0/flash1.prj
memory2.0/flash1.spl
memory2.0/flash1.stx
memory2.0/flash1.sym
memory2.0/flash1.xst
memory2.0/flash1_vhdl.prj
memory2.0/flashb.prj
memory2.0/flashb.spl
memory2.0/flashb.stx
memory2.0/flashb.sym
memory2.0/flashb.vhd
memory2.0/flashb.xst
memory2.0/flashb_vhdl.prj
memory2.0/flash_summary.html
memory2.0/flash_vhdl.prj
memory2.0/hostlistfile.txt
memory2.0/interface_ctrl.cmd_log
memory2.0/interface_ctrl.lso
memory2.0/interface_ctrl.ngc
memory2.0/interface_ctrl.ngr
memory2.0/interface_ctrl.prj
memory2.0/interface_ctrl.spl
memory2.0/interface_ctrl.stx
memory2.0/Interface_ctrl.sym
memory2.0/interface_ctrl.syr
memory2.0/Interface_ctrl.vhd
memory2.0/Interface_ctrl.xst
memory2.0/interface_ctrl_summary.html
memory2.0/Interface_ctrl_vhdl.prj
memory2.0/inv_b_addr.asy
memory2.0/inv_b_addr.edn
memory2.0/inv_b_addr.ngo
memory2.0/inv_b_addr.sym
memory2.0/inv_b_addr.v
memory2.0/inv_b_addr.veo
memory2.0/inv_b_addr.vhd
memory2.0/inv_b_addr.vho
memory2.0/inv_b_addr.xco
memory2.0/inv_b_addr_flist.txt
memory2.0/inv_b_addr_readme.txt
memory2.0/iseconfig/memory.projectmgr
memory2.0/iseconfig/memory.xreport
memory2.0/memory.bgn
memory2.0/memory.bit
memory2.0/memory.bld
memory2.0/memory.cel
memory2.0/memory.cmd_log
memory2.0/memory.dhp
memory2.0/memory.drc
memory2.0/memory.gise
memory2.0/memory.ise.old
memory2.0/memory.ise_ISE_Backup
memory2.0/memory.jhd
memory2.0/memory.lso
memory2.0/memory.mrp
memory2.0/memory.ncd
memory2.0/memory.ngc
memory2.0/memory.ngd
memory2.0/memory.ngm
memory2.0/memory.ngr
memory2.0/memory.ntrc_log
memory2.0/memory.pad
memory2.0/memory.par
memory2.0/memory.pcf
memory2.0/memory.prj
memory2.0/memory.restore
memory2.0/memory.sch
memory2.0/memory.schbak
memory2.0/memory.schcmd
memory2.0/memory.stx
memory2.0/memory.sym
memory2.0/memory.syr
memory2.0/memory.twr
memory2.0/memory.twx
memory2.0/memory.ucf
memory2.0/memory.unroutes
memory2.0/memory.ut
memory2.0/memory.vhd
memory2.0/memory.vhf
memory2.0/memory.xise
memory2.0/memory.xpi
memory2.0/memory.xst
memory2.0/memory_cclktemp.bit
memory2.0/memory_envsettings.html
memory2.0/memory_guide.ncd
memory2.0/memory_ise12migration.zip
memory2.0/memory_ise9migration.zip
memory2.0/memory_last_par.ncd
memory2.0/memory_map.map
memory2.0/memory_map.mrp
memory2.0/memory_map.ncd
memory2.0/memory_map.ngm
memory2.0/memory_ngdbuild.xrpt
memory2.0/memory_pad.csv
memory2.0/memory_pad.txt
memory2.0/memory_prev_built.ngd
memory2.0/memory_summary.html
memory2.0/memory_summary.xml
memory2.0/memory_usage.xml
memory2.0/memory_vhdl.prj
memory2.0/memory_xst.xrpt
memory2.0/newflash.prj
memory2.0/newflash.spl
memory2.0/newflash.stx
memory2.0/newflash.sym
memory2.0/newflash.vhd
memory2.0/newflash.xst
memory2.0/ne

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com