CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:VGA1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-10-23
  • 文件大小:
    372kb
  • 已下载:
    2次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

这是我自己的一个流水灯的设计编程 在ise10.1环境下做的Verilog编程 用Spartan3E basys2开发板可以实现八个led灯的循环 有一个复位rst

设计关键是分频器的设计 这里运用的是d触发器实现50MHz的50M分频-This is my own design of a light water program in ise10.1 do Verilog programming environment with Spartan3E basys2 development board can achieve eight led lights rst design cycle has a reset key is to use a crossover design is here d trigger realization of 50MHz 50M Divide
(系统自动生成,下载前可以参看下载内容)

下载文件列表

VGA1/device_usage_statistics.html
VGA1/vga1.bgn
VGA1/vga1.bit
VGA1/VGA1.bld
VGA1/VGA1.cmd_log
VGA1/vga1.drc
VGA1/VGA1.ise
VGA1/VGA1.lso
VGA1/VGA1.ncd
VGA1/VGA1.ngc
VGA1/VGA1.ngd
VGA1/VGA1.ngr
VGA1/VGA1.ntrc_log
VGA1/VGA1.pad
VGA1/VGA1.par
VGA1/VGA1.pcf
VGA1/VGA1.prj
VGA1/VGA1.ptwx
VGA1/VGA1.restore
VGA1/VGA1.stx
VGA1/VGA1.syr
VGA1/VGA1.twr
VGA1/VGA1.twx
VGA1/VGA1.unroutes
VGA1/VGA1.ut
VGA1/VGA1.v
VGA1/VGA1.xpi
VGA1/VGA1.xst
VGA1/VGA1_guide.ncd
VGA1/VGA1_map.map
VGA1/VGA1_map.mrp
VGA1/VGA1_map.ncd
VGA1/VGA1_map.ngm
VGA1/VGA1_map.xrpt
VGA1/VGA1_ngdbuild.xrpt
VGA1/VGA1_pad.csv
VGA1/VGA1_pad.txt
VGA1/VGA1_par.xrpt
VGA1/VGA1_prev_built.ngd
VGA1/VGA1_summary.html
VGA1/VGA1_summary.xml
VGA1/VGA1_usage.xml
VGA1/VGA1_xdb/cst.xbcd
VGA1/VGA1_xdb/tmp/ise/version
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects__
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects___StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-VGA1
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-VGA1_StrTbl
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/common/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/Cs/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/ExpandedNetlistEngine/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/fuse/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/idem/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/map/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/netgen/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/ngdbuild/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/par/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/ProjectNavigatorGui/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/runner/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/SrcCtrl/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/bitgen/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/map/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/ngdbuild/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/par/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/trce/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/xst/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/taengine/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/trce/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/tsim/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/vhpcomp/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/vlogcomp/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/WebTalk/DesignDataCollection/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/WebTalk/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/xpwr/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/xreport/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/XSLTProcess/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/xst/regkeys
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys
VGA1/VGA1_xdb/tmp/ise.lock
VGA1/VGA1_xst.xrpt
VGA1/xst/dump.xst/VGA1.prj/ntrc.scr
VGA1/xst/work/hdllib.ref
VGA1/xst/work/vlg63/_v_g_a1.bin
VGA1/yueshu.ucf
VGA1/_ngo/netlist.lst
VGA1/_xmsgs/bitgen.xmsgs
VGA1/_xmsgs/map.xmsgs
VGA1/_xmsgs/ngdbuild.xmsgs
VGA1/_xmsgs/par.xmsgs
VGA1/_xmsgs/trce.xmsgs
VGA1/_xmsgs/xst.xmsgs
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main
VGA1/VGA1_xdb/tmp/ise/__OBJSTORE__/SrcCtrl/SavedOptions
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/bitgen
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/map
VGA1/VGA1_xdb/tmp/ise/__REGISTRY__/STE/ngdbuild
VGA

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com