CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:4_BUZZER_PWM

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-11-20
  • 文件大小:
    236.5kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

FPGA通过PWM波控制蜂鸣器产出不同的音调。-FPGA wave PWM control buzzer output by different tones.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

doc/
doc/音调vs频率.jpg
prj/
prj/BUZZER_PWM.asm.rpt
prj/BUZZER_PWM.done
prj/BUZZER_PWM.dpf
prj/BUZZER_PWM.fit.rpt
prj/BUZZER_PWM.fit.smsg
prj/BUZZER_PWM.fit.summary
prj/BUZZER_PWM.flow.rpt
prj/BUZZER_PWM.map.rpt
prj/BUZZER_PWM.map.summary
prj/BUZZER_PWM.pin
prj/BUZZER_PWM.pof
prj/BUZZER_PWM.qpf
prj/BUZZER_PWM.qsf
prj/BUZZER_PWM.tan.rpt
prj/BUZZER_PWM.tan.summary
prj/Tcl_script.tcl
prj/db/
prj/db/BUZZER_PWM.(0).cnf.cdb
prj/db/BUZZER_PWM.(0).cnf.hdb
prj/db/BUZZER_PWM.amm.cdb
prj/db/BUZZER_PWM.asm.qmsg
prj/db/BUZZER_PWM.asm.rdb
prj/db/BUZZER_PWM.asm_labs.ddb
prj/db/BUZZER_PWM.cbx.xml
prj/db/BUZZER_PWM.cmp.cdb
prj/db/BUZZER_PWM.cmp.hdb
prj/db/BUZZER_PWM.cmp.kpt
prj/db/BUZZER_PWM.cmp.logdb
prj/db/BUZZER_PWM.cmp.rdb
prj/db/BUZZER_PWM.cmp.tdb
prj/db/BUZZER_PWM.cmp0.ddb
prj/db/BUZZER_PWM.db_info
prj/db/BUZZER_PWM.fit.qmsg
prj/db/BUZZER_PWM.hier_info
prj/db/BUZZER_PWM.hif
prj/db/BUZZER_PWM.idb.cdb
prj/db/BUZZER_PWM.lpc.html
prj/db/BUZZER_PWM.lpc.rdb
prj/db/BUZZER_PWM.lpc.txt
prj/db/BUZZER_PWM.map.cdb
prj/db/BUZZER_PWM.map.hdb
prj/db/BUZZER_PWM.map.logdb
prj/db/BUZZER_PWM.map.qmsg
prj/db/BUZZER_PWM.pre_map.cdb
prj/db/BUZZER_PWM.pre_map.hdb
prj/db/BUZZER_PWM.rtlv.hdb
prj/db/BUZZER_PWM.rtlv_sg.cdb
prj/db/BUZZER_PWM.rtlv_sg_swap.cdb
prj/db/BUZZER_PWM.sgdiff.cdb
prj/db/BUZZER_PWM.sgdiff.hdb
prj/db/BUZZER_PWM.sld_design_entry.sci
prj/db/BUZZER_PWM.sld_design_entry_dsc.sci
prj/db/BUZZER_PWM.smart_action.txt
prj/db/BUZZER_PWM.syn_hier_info
prj/db/BUZZER_PWM.tan.qmsg
prj/db/BUZZER_PWM.tis_db_list.ddb
prj/db/logic_util_heursitic.dat
prj/incremental_db/
prj/incremental_db/README
prj/incremental_db/compiled_partitions/
prj/incremental_db/compiled_partitions/BUZZER_PWM.db_info
prj/incremental_db/compiled_partitions/BUZZER_PWM.root_partition.map.kpt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com