CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:wdog_sp805

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2015-07-18
  • 文件大小:
    208.46kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

看门狗模块是一个AMBA从属模块连接到高级

外设总线(APB)。看门狗模块包括一个32位的递减计数器用

可编程超时间隔具有产生中断和能力

对超时复位信号。它的目的是要使用到复位应用于在一个系统

事件的软件故障。-The Watchdog module is an AMBA slave module and connects to the Advanced

Peripheral Bus (APB). The Watchdog module consists of a 32-bit down counter with a

programmable timeout interval that has the capability to generate an interrupt and a

reset signal on timing out. It is intended to be used to apply a reset to a system in the

event of a software failure.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

wdog_sp805/verilog/Watchdog.v
wdog_sp805/verilog/WdogFrc.v
wdog_sp805/verilog/WdogPackage.v
wdog_sp805/verilog/WdogRevAnd.v
wdog_sp805/DDI0270_WDT_SP805.pdf
wdog_sp805/verilog
wdog_sp805

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com