CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:divider

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2015-11-29
  • 文件大小:
    451.88kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

输出任意频率的分频器,使用verilog语言实现-The divider wright using verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表

divider/
divider/db/
divider/db/divider.(0).cnf.cdb
divider/db/divider.(0).cnf.hdb
divider/db/divider.(1).cnf.cdb
divider/db/divider.(1).cnf.hdb
divider/db/divider.(2).cnf.cdb
divider/db/divider.(2).cnf.hdb
divider/db/divider.(3).cnf.cdb
divider/db/divider.(3).cnf.hdb
divider/db/divider.(4).cnf.cdb
divider/db/divider.(4).cnf.hdb
divider/db/divider.amm.cdb
divider/db/divider.asm.qmsg
divider/db/divider.asm.rdb
divider/db/divider.cbx.xml
divider/db/divider.cmp.kpt
divider/db/divider.cmp.rdb
divider/db/divider.cmp0.ddb
divider/db/divider.cmp1.ddb
divider/db/divider.cmp2.ddb
divider/db/divider.cmp_merge.kpt
divider/db/divider.db_info
divider/db/divider.eda.qmsg
divider/db/divider.fit.qmsg
divider/db/divider.hier_info
divider/db/divider.hif
divider/db/divider.idb.cdb
divider/db/divider.lpc.html
divider/db/divider.lpc.rdb
divider/db/divider.lpc.txt
divider/db/divider.map.bpm
divider/db/divider.map.cdb
divider/db/divider.map.hdb
divider/db/divider.map.kpt
divider/db/divider.map.logdb
divider/db/divider.map.qmsg
divider/db/divider.map_bb.cdb
divider/db/divider.map_bb.hdb
divider/db/divider.map_bb.logdb
divider/db/divider.pre_map.cdb
divider/db/divider.pre_map.hdb
divider/db/divider.rtlv.hdb
divider/db/divider.rtlv_sg.cdb
divider/db/divider.rtlv_sg_swap.cdb
divider/db/divider.sgdiff.cdb
divider/db/divider.sgdiff.hdb
divider/db/divider.sld_design_entry.sci
divider/db/divider.sld_design_entry_dsc.sci
divider/db/divider.smart_action.txt
divider/db/divider.sta.qmsg
divider/db/divider.sta.rdb
divider/db/divider.syn_hier_info
divider/db/divider.tis_db_list.ddb
divider/db/divider.tmw_info
divider/db/logic_util_heursitic.dat
divider/db/prev_cmp_divider.qmsg
divider/divider.asm.rpt
divider/divider.done
divider/divider.eda.rpt
divider/divider.fit.rpt
divider/divider.fit.summary
divider/divider.flow.rpt
divider/divider.map.rpt
divider/divider.map.smsg
divider/divider.map.summary
divider/divider.pin
divider/divider.pof
divider/divider.qpf
divider/divider.qsf
divider/divider.sof
divider/divider.sta.rpt
divider/divider.sta.summary
divider/divider.v
divider/divider.v.bak
divider/divider_2s.v
divider/divider_lsm.v
divider/divider_lsm.v.bak
divider/divider_lsm_tb.v
divider/divider_lsm_tb.v.bak
divider/divider_mealy_ebd_2s.v
divider/divider_mealy_ebd_2s.v.bak
divider/divider_mealy_ebd_2s_tb.v
divider/divider_mealy_ebd_2s_tb.v.bak
divider/divider_mealy_ebd_3s.v
divider/divider_mealy_ebd_3s.v.bak
divider/divider_mealy_ebd_3s_tb.v
divider/divider_mealy_nbd_1s.v
divider/divider_mealy_nbd_1s.v.bak
divider/divider_mealy_nbd_1s_tb.v
divider/divider_mealy_nbd_1s_tb.v.bak
divider/divider_mealy_nbd_2s.v
divider/divider_mealy_nbd_2s.v.bak
divider/divider_moor_nbd_2s.v
divider/divider_moor_nbd_2s.v.bak
divider/divider_moor_nbd_2s_tb.v
divider/divider_moor_nbd_2s_tb.v.bak
divider/divider_nativelink_simulation.rpt
divider/divider_tb.v
divider/divider_tb.v.bak
divider/incremental_db/
divider/incremental_db/compiled_partitions/
divider/incremental_db/compiled_partitions/divider.db_info
divider/incremental_db/compiled_partitions/divider.root_partition.cmp.cdb
divider/incremental_db/compiled_partitions/divider.root_partition.cmp.dfp
divider/incremental_db/compiled_partitions/divider.root_partition.cmp.hdb
divider/incremental_db/compiled_partitions/divider.root_partition.cmp.kpt
divider/incremental_db/compiled_partitions/divider.root_partition.cmp.logdb
divider/incremental_db/compiled_partitions/divider.root_partition.cmp.rcfdb
divider/incremental_db/compiled_partitions/divider.root_partition.map.cdb
divider/incremental_db/compiled_partitions/divider.root_partition.map.dpi
divider/incremental_db/compiled_partitions/divider.root_partition.map.hbdb.cdb
divider/incremental_db/compiled_partitions/divider.root_partition.map.hbdb.hb_info
divider/incremental_db/compiled_partitions/divider.root_partition.map.hbdb.hdb
divider/incremental_db/compiled_partitions/divider.root_partition.map.hbdb.sig
divider/incremental_db/compiled_partitions/divider.root_partition.map.hdb
divider/incremental_db/compiled_partitions/divider.root_partition.map.kpt
divider/incremental_db/README
divider/simulation/
divider/simulation/modelsim/
divider/simulation/modelsim/divider.sft
divider/simulation/modelsim/divider.vo
divider/simulation/modelsim/divider_fast.vo
divider/simulation/modelsim/divider_modelsim.xrf
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak1
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak10
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak11
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak2
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak3
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak4
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak5
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak6
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak7
divider/simulation/modelsim/divider_run_msim_rtl_verilog.do.bak8
divider/simulation/modelsim/div

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com