CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:eluosi_game

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2016-02-17
  • 文件大小:
    2.08mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用Quartus II 9.1完成俄罗斯方块游戏,只要使用有VGA和键盘接口的FPGA开发板就行实现。操作简单,使用的是VHDL和Verilog语言-Use the Quartus II 9.1 to complete the tetris game, as long as you use a VGA and keyboard interface implementation of FPGA development board. The operation is simple, the use of VHDL and the Verilog language
(系统自动生成,下载前可以参看下载内容)

下载文件列表

eluosi_game/11.c
eluosi_game/altera_vhdl_support.vhd
eluosi_game/boot_rom.hex
eluosi_game/boot_rom.vhd
eluosi_game/button_isr_test.c
eluosi_game/button_pio.vhd
eluosi_game/Chain1.cdf
eluosi_game/cmp_state.ini
eluosi_game/component_builder_logfile.txt
eluosi_game/cpu.ocp
eluosi_game/cpu.vhd
eluosi_game/cpu_jtag_debug_module.vhd
eluosi_game/cpu_jtag_debug_module_wrapper.vhd
eluosi_game/cpu_ociram_default_contents.mif
eluosi_game/cpu_test_bench.vhd
eluosi_game/db/add_sub_ovb.tdf
eluosi_game/db/altsyncram_1kc1.tdf
eluosi_game/db/altsyncram_bhc1.tdf
eluosi_game/db/altsyncram_dno1.tdf
eluosi_game/db/altsyncram_gpm1.tdf
eluosi_game/db/altsyncram_u301.tdf
eluosi_game/db/altsyncram_vkt1.tdf
eluosi_game/db/alt_synch_pipe_bb3.tdf
eluosi_game/db/alt_synch_pipe_cb3.tdf
eluosi_game/db/alt_synch_pipe_db3.tdf
eluosi_game/db/a_dpfifo_83p.tdf
eluosi_game/db/a_fefifo_46d.tdf
eluosi_game/db/a_fefifo_7cf.tdf
eluosi_game/db/a_fefifo_v5d.tdf
eluosi_game/db/a_gray2bin_26b.tdf
eluosi_game/db/a_graycounter_626.tdf
eluosi_game/db/a_graycounter_726.tdf
eluosi_game/db/cntr_9c7.tdf
eluosi_game/db/cntr_df8.tdf
eluosi_game/db/cntr_i08.tdf
eluosi_game/db/cntr_rd8.tdf
eluosi_game/db/dcfifo_do01.tdf
eluosi_game/db/decode_9ie.tdf
eluosi_game/db/decode_imb.tdf
eluosi_game/db/decode_tkb.tdf
eluosi_game/db/dffpipe_9b3.tdf
eluosi_game/db/dffpipe_bb3.tdf
eluosi_game/db/dffpipe_cb3.tdf
eluosi_game/db/dffpipe_db3.tdf
eluosi_game/db/dpram_75p.tdf
eluosi_game/db/dpram_jor.tdf
eluosi_game/db/elsfk.db_info
eluosi_game/db/elsfk.eco.cdb
eluosi_game/db/elsfk.sld_design_entry.sci
eluosi_game/db/elsfk_cmp.qrpt
eluosi_game/db/mux_hic.tdf
eluosi_game/db/mux_sgc.tdf
eluosi_game/db/scfifo_1to.tdf
eluosi_game/delay_reset.bdf
eluosi_game/delay_reset.bsf
eluosi_game/delay_reset.vhd
eluosi_game/dma.vhd
eluosi_game/elsfk.asm.rpt
eluosi_game/elsfk.bdf
eluosi_game/elsfk.cdf
eluosi_game/elsfk.done
eluosi_game/elsfk.fit.eqn
eluosi_game/elsfk.fit.rpt
eluosi_game/elsfk.fit.summary
eluosi_game/elsfk.flow.rpt
eluosi_game/elsfk.map.eqn
eluosi_game/elsfk.map.rpt
eluosi_game/elsfk.map.summary
eluosi_game/elsfk.pin
eluosi_game/elsfk.pof
eluosi_game/elsfk.qpf
eluosi_game/elsfk.qsf
eluosi_game/elsfk.qsf.bak
eluosi_game/elsfk.qws
eluosi_game/elsfk.sof
eluosi_game/elsfk.tan.rpt
eluosi_game/elsfk.tan.summary
eluosi_game/elsfk_assignment_defaults.qdf
eluosi_game/FK.c
eluosi_game/FK.h
eluosi_game/FK1.c
eluosi_game/For_UP3.csv
eluosi_game/jtag_uart.vhd
eluosi_game/lcd.vhd
eluosi_game/led_pio.vhd
eluosi_game/lpm_counter1.bsf
eluosi_game/lpm_counter1.vhd
eluosi_game/lpm_counter1_wave0.jpg
eluosi_game/lpm_counter1_waveforms.html
eluosi_game/Multiplexer_3_Channel_M.v
eluosi_game/nios32.bsf
eluosi_game/nios32.ptf
eluosi_game/nios32.ptf.bak
eluosi_game/nios32.v
eluosi_game/nios32.vhd
eluosi_game/nios32_generation_script
eluosi_game/nios32_log.txt
eluosi_game/nios32_setup_quartus.tcl
eluosi_game/nios32_sim/atail-f.pl
eluosi_game/nios32_sim/dummy_file
eluosi_game/nios32_sim/jtag_uart_input_mutex.dat
eluosi_game/nios32_sim/jtag_uart_input_stream.dat
eluosi_game/nios32_sim/jtag_uart_output_stream.dat
eluosi_game/nios32_sim/uart_input_data_mutex.dat
eluosi_game/nios32_sim/uart_input_data_stream.dat
eluosi_game/nios32_sim/uart_log_module.txt
eluosi_game/pll1.bsf
eluosi_game/pll1.vhd
eluosi_game/rf_ram.mif
eluosi_game/sdram.vhd
eluosi_game/sdram_test_component.vhd
eluosi_game/serv_req_info.txt
eluosi_game/SLS_TriState_Components_Interface.bdf
eluosi_game/SLS_TriState_Components_Interface.bsf
eluosi_game/SLS_TriState_Components_Interface.v
eluosi_game/software/.metadata/.log
eluosi_game/software/.metadata/.plugins/com.altera.nj.ui/dialog_settings.xml
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.core/1486734520.index
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.core/2693648340.index
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.core/4106321198.index
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.core/savedIndexNames.txt
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp
eluosi_game/software/.metadata/.plugins/org.eclipse.cdt.ui/dialog_settings.xml
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/1/708cf6e51bf2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/12/80efece51bf2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/14/20c2c36e1cf2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/17/20967c2f15f2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/19/70bbcabfdaf1001a1672faac7dcdc334
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/1b/70aaf1e51bf2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/1c/40e39daa15f2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.resources/.history/1c/80dbf04909f2001a1a47b6584e8f9250
eluosi_game/software/.metadata/.plugins/org.eclipse.core.

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com