CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:gamefour

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2017-01-01
  • 文件大小:
    14.61kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

这是一个自动售货机程序实现,功能如下:1.按一下button1按钮,表示购买货物A,第一个LED灯亮;按两下button1按钮,表示购买货物B,第二个LED灯亮;按三下button1按钮,表示购买货物C,第三个LED灯亮,同时7段数码管显示所要购买货物的价格。

2.LED灯亮后,开始输入硬币。button2按一下,输入10元,按两下,输入二十元,以此类推;Button3按一下输入5元,按两下输入10元,以此类推;button4按一下输入1元,按两下输入2元,以此类推。7段数码管显示已投入的总钱数,再次按下button1键,7段数码管显示找零数目,同时指示货物的LED灯熄灭。

3.如果投入的钱币不够就按下button1键确认购买,数码管显示“ER”。(vga显示部分有缺陷)-This is a vending machine program implementation, the following functions: 1 Click button1 button to indicate that buy goods A, the first LED lights double-click button1 button to indicate that buy goods B, the second LED lights press three times. button1 button to indicate that buy goods C, the third LED lights, while the 7-segment LED display prices of goods to be purchased. After 2.LED lights, start typing coins. button2 Click, enter $ 10, double-click, enter $ 20 and so on Button3 press the Enter 5 yuan, twice for $ 10, and so on button4 Click Enter $ 1, twice for 2 yuan, and so on. 7-segment display of the total number of money has been invested, press button1 button again, 7-segment display the change number, while indicating the goods LED light goes out. 3. If the money is not enough to put the press button1 button to confirm the purchase, digital display " ER" .
(系统自动生成,下载前可以参看下载内容)

下载文件列表

实验四/
实验四/devider.txt
实验四/display.txt
实验四/frequency.txt
实验四/mouse.txt
实验四/shj_ctrl.txt
实验四/stable_but.txt
实验四/top.txt
实验四/VGA.txt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com