CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:Greedy_snake

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2017-07-19
  • 文件大小:
    6.99mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

利用SPARTAN6系列的FPGA,实现开发一款基本贪吃蛇游戏,可在显示屏上游戏,采用verilog代码(Using SPARTAN6 series of FPGA, to achieve the development of a basic snake game can be on the screen game, using verilog code)
相关搜索: SPARTAN6 verilog

(系统自动生成,下载前可以参看下载内容)

下载文件列表

31_Greedy_snake\ex5_image_256x256_rom.coe
31_Greedy_snake\ex5_over_256x256_rom.coe
31_Greedy_snake\greedy_snake.bgn
31_Greedy_snake\greedy_snake.bit
31_Greedy_snake\Greedy_snake.bld
31_Greedy_snake\Greedy_snake.cmd_log
31_Greedy_snake\greedy_snake.drc
31_Greedy_snake\Greedy_snake.gise
31_Greedy_snake\Greedy_snake.lso
31_Greedy_snake\Greedy_snake.ncd
31_Greedy_snake\Greedy_snake.ngc
31_Greedy_snake\Greedy_snake.ngd
31_Greedy_snake\Greedy_snake.ngr
31_Greedy_snake\Greedy_snake.pad
31_Greedy_snake\Greedy_snake.par
31_Greedy_snake\Greedy_snake.pcf
31_Greedy_snake\Greedy_snake.prj
31_Greedy_snake\Greedy_snake.ptwx
31_Greedy_snake\Greedy_snake.stx
31_Greedy_snake\Greedy_snake.syr
31_Greedy_snake\Greedy_snake.twr
31_Greedy_snake\Greedy_snake.twx
31_Greedy_snake\Greedy_snake.ucf
31_Greedy_snake\Greedy_snake.unroutes
31_Greedy_snake\Greedy_snake.ut
31_Greedy_snake\Greedy_snake.xise
31_Greedy_snake\Greedy_snake.xpi
31_Greedy_snake\Greedy_snake.xst
31_Greedy_snake\Greedy_snake_bitgen.xwbt
31_Greedy_snake\Greedy_snake_envsettings.html
31_Greedy_snake\Greedy_snake_guide.ncd
31_Greedy_snake\Greedy_snake_map.map
31_Greedy_snake\Greedy_snake_map.mrp
31_Greedy_snake\Greedy_snake_map.ncd
31_Greedy_snake\Greedy_snake_map.ngm
31_Greedy_snake\Greedy_snake_map.xrpt
31_Greedy_snake\Greedy_snake_ngdbuild.xrpt
31_Greedy_snake\Greedy_snake_pad.csv
31_Greedy_snake\Greedy_snake_pad.txt
31_Greedy_snake\Greedy_snake_par.xrpt
31_Greedy_snake\Greedy_snake_summary.html
31_Greedy_snake\Greedy_snake_summary.xml
31_Greedy_snake\Greedy_snake_usage.xml
31_Greedy_snake\Greedy_snake_xst.xrpt
31_Greedy_snake\ipcore_dir\coregen.cgp
31_Greedy_snake\ipcore_dir\coregen.log
31_Greedy_snake\ipcore_dir\create_ex5_over_rom.tcl
31_Greedy_snake\ipcore_dir\create_ex5_start_rom.tcl
31_Greedy_snake\ipcore_dir\create_Snake_pll.tcl
31_Greedy_snake\ipcore_dir\edit_Snake_pll.tcl
31_Greedy_snake\ipcore_dir\ex5_over_rom\blk_mem_gen_v7_3_readme.txt
31_Greedy_snake\ipcore_dir\ex5_over_rom\doc\blk_mem_gen_v7_3_vinfo.html
31_Greedy_snake\ipcore_dir\ex5_over_rom\doc\pg058-blk-mem-gen.pdf
31_Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_exdes.ucf
31_Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_exdes.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_exdes.xdc
31_Greedy_snake\ipcore_dir\ex5_over_rom\example_design\ex5_over_rom_prod.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\implement.bat
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\implement.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\planAhead_ise.bat
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\planAhead_ise.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\planAhead_ise.tcl
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\xst.prj
31_Greedy_snake\ipcore_dir\ex5_over_rom\implement\xst.scr
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\addr_gen.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\bmg_stim_gen.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\bmg_tb_pkg.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\ex5_over_rom_synth.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\ex5_over_rom_tb.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simcmds.tcl
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_isim.bat
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_mti.bat
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_mti.do
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_mti.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_ncsim.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\simulate_vcs.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\ucli_commands.key
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\vcs_session.tcl
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\wave_mti.do
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\functional\wave_ncsim.sv
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\random.vhd
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simcmds.tcl
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_isim.bat
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_mti.bat
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_mti.do
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_mti.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_ncsim.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\simulate_vcs.sh
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\ucli_commands.key
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\vcs_session.tcl
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\wave_mti.do
31_Greedy_snake\ipcore_dir\ex5_over_rom\simulation\timing\wave_ncsim.sv
31_Greedy_snake\ipcore_dir\ex5_over_rom.asy
31_Greedy_snake\ipcore_dir\ex5_over_rom.gise
31_Greedy_snake\ipcore_dir\ex5_over_rom.mif
31_Greedy_snake\ipcore_dir\ex5_over_rom.ncf
31_Greedy_snake\ipcore_dir\ex5_over_rom.ngc
31_Greedy_snake\ipcore_dir\ex5_over_rom.sym
31_Greedy_snake\ipcore_dir\ex5_over_rom.v
31_Greedy_snake\ipcore_dir\ex5_over_rom.veo

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com