CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程

文件名称:seg7

介绍说明--下载内容来自于网络,使用问题请自行百度

七段数码管显示驱动的设计,实现点亮开发板上的数码管(Design of seven segment digital tube display drive)
(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
seg7\seg7.cache\wt\gui_handlers.wdf 6820 2018-04-01
seg7\seg7.cache\wt\java_command_handlers.wdf 1876 2018-04-01
seg7\seg7.cache\wt\project.wpc 121 2018-04-01
seg7\seg7.cache\wt\synthesis.wdf 5381 2018-04-01
seg7\seg7.cache\wt\synthesis_details.wdf 100 2018-04-01
seg7\seg7.cache\wt\webtalk_pa.xml 6489 2018-04-01
seg7\seg7.cache\wt\xsim.wdf 256 2018-04-01
seg7\seg7.hw\hw_1\hw.xml 839 2018-04-01
seg7\seg7.hw\seg7.lpr 343 2018-04-01
seg7\seg7.ip_user_files\README.txt 130 2018-03-30
seg7\seg7.runs\.jobs\vrs_config_1.xml 202 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_10.xml 223 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_2.xml 202 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_3.xml 216 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_4.xml 223 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_5.xml 202 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_6.xml 216 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_7.xml 223 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_8.xml 202 2018-04-01
seg7\seg7.runs\.jobs\vrs_config_9.xml 216 2018-04-01
seg7\seg7.runs\impl_1\.init_design.begin.rst 176 2018-04-01
seg7\seg7.runs\impl_1\.init_design.end.rst 0 2018-04-01
seg7\seg7.runs\impl_1\.opt_design.begin.rst 176 2018-04-01
seg7\seg7.runs\impl_1\.opt_design.end.rst 0 2018-04-01
seg7\seg7.runs\impl_1\.place_design.begin.rst 176 2018-04-01
seg7\seg7.runs\impl_1\.place_design.end.rst 0 2018-04-01
seg7\seg7.runs\impl_1\.route_design.begin.rst 176 2018-04-01
seg7\seg7.runs\impl_1\.route_design.end.rst 0 2018-04-01
seg7\seg7.runs\impl_1\.vivado.begin.rst 349 2018-04-01
seg7\seg7.runs\impl_1\.vivado.end.rst 0 2018-04-01
seg7\seg7.runs\impl_1\.Vivado_Implementation.queue.rst 0 2018-04-01
seg7\seg7.runs\impl_1\.write_bitstream.begin.rst 176 2018-04-01
seg7\seg7.runs\impl_1\.write_bitstream.end.rst 0 2018-04-01
seg7\seg7.runs\impl_1\gen_run.xml 4250 2018-04-01
seg7\seg7.runs\impl_1\htr.txt 393 2018-04-01
seg7\seg7.runs\impl_1\init_design.pb 1973 2018-04-01
seg7\seg7.runs\impl_1\ISEWrap.js 7308 2018-04-01
seg7\seg7.runs\impl_1\ISEWrap.sh 1623 2018-04-01
seg7\seg7.runs\impl_1\opt_design.pb 7370 2018-04-01
seg7\seg7.runs\impl_1\place_design.pb 11443 2018-04-01
seg7\seg7.runs\impl_1\project.wdf 3633 2018-04-01
seg7\seg7.runs\impl_1\route_design.pb 11102 2018-04-01
seg7\seg7.runs\impl_1\rundef.js 1390 2018-04-01
seg7\seg7.runs\impl_1\runme.bat 229 2018-04-01
seg7\seg7.runs\impl_1\runme.log 23812 2018-04-01
seg7\seg7.runs\impl_1\runme.sh 1238 2018-04-01
seg7\seg7.runs\impl_1\seg7.bit 2192112 2018-04-01
seg7\seg7.runs\impl_1\seg7.tcl 2161 2018-04-01
seg7\seg7.runs\impl_1\seg7.vdi 23922 2018-04-01
seg7\seg7.runs\impl_1\seg7_3924.backup.vdi 19198 2018-04-01
seg7\seg7.runs\impl_1\seg7_5032.backup.vdi 19202 2018-04-01
seg7\seg7.runs\impl_1\seg7_clock_utilization_routed.rpt 10598 2018-04-01
seg7\seg7.runs\impl_1\seg7_control_sets_placed.rpt 3135 2018-04-01
seg7\seg7.runs\impl_1\seg7_drc_opted.pb 37 2018-04-01
seg7\seg7.runs\impl_1\seg7_drc_opted.rpt 2296 2018-04-01
seg7\seg7.runs\impl_1\seg7_drc_opted.rpx 1625 2018-04-01
seg7\seg7.runs\impl_1\seg7_drc_routed.pb 37 2018-04-01
seg7\seg7.runs\impl_1\seg7_drc_routed.rpt 2300 2018-04-01
seg7\seg7.runs\impl_1\seg7_drc_routed.rpx 1626 2018-04-01
seg7\seg7.runs\impl_1\seg7_io_placed.rpt 99618 2018-04-01
seg7\seg7.runs\impl_1\seg7_methodology_drc_routed.pb 52 2018-04-01
seg7\seg7.runs\impl_1\seg7_methodology_drc_routed.rpt 4722 2018-04-01
seg7\seg7.runs\impl_1\seg7_methodology_drc_routed.rpx 5555 2018-04-01
seg7\seg7.runs\impl_1\seg7_opt.dcp 127386 2018-04-01
seg7\seg7.runs\impl_1\seg7_placed.dcp 133783 2018-04-01
seg7\seg7.runs\impl_1\seg7_power_routed.rpt 7776 2018-04-01
seg7\seg7.runs\impl_1\seg7_power_routed.rpx 23581 2018-04-01
seg7\seg7.runs\impl_1\seg7_power_summary_routed.pb 722 2018-04-01
seg7\seg7.runs\impl_1\seg7_routed.dcp 140023 2018-04-01
seg7\seg7.runs\impl_1\seg7_route_status.pb 43 2018-04-01
seg7\seg7.runs\impl_1\seg7_route_status.rpt 588 2018-04-01
seg7\seg7.runs\impl_1\seg7_timing_summary_routed.rpt 7311 2018-04-01
seg7\seg7.runs\impl_1\seg7_timing_summary_routed.rpx 6084 2018-04-01
seg7\seg7.runs\impl_1\seg7_utilization_placed.pb 242 2018-04-01
seg7\seg7.runs\impl_1\seg7_utilization_placed.rpt 8436 2018-04-01
seg7\seg7.runs\impl_1\usage_statistics_webtalk.html 23281 2018-04-01
seg7\seg7.runs\impl_1\usage_statistics_webtalk.xml 32131 2018-04-01
seg7\seg7.runs\impl_1\vivado.jou 652 2018-04-01
seg7\seg7.runs\impl_1\vivado.pb 149 2018-04-01
seg7\seg7.runs\impl_1\vivado_3924.backup.jou 652 2018-04-01
seg7\seg7.runs\impl_1\vivado_5032.backup.jou 652 2018-04-01
seg7\seg7.runs\impl_1\write_bitstream.pb 6772 2018-04-01
seg7\seg7.runs\synth_1\.vivado.begin.rst 175 2018-04-01
seg7\seg7.runs\synth_1\.vivado.end.rst 0 2018-04-01
seg7\seg7.runs\synth_1\.Vivado_Synthesis.queue.rst 0 2018-04-01
seg7\seg7.runs\synth_1\.Xil\seg7_propImpl.xdc 6175 2018-04-01
seg7\seg7.runs\synth_1\gen_run.xml 1519 2018-04-01
seg7\seg7.runs\synth_1\htr.txt 385 2018-04-01
seg7\seg7.runs\synth_1\ISEWrap.js 7308 2018-04-01
seg7\seg7.runs\synth_1\ISEWrap.sh 1623 2018-04-01
seg7\seg7.runs\synth_1\project.wdf 3633 2018-04-01
seg7\seg7.runs\synth_1\rundef.js 1315 2018-04-01
seg7\seg7.runs\synth_1\runme.bat 229 2018-04-01
seg7\seg7.runs\synth_1\runme.log 17470 2018-04-01
seg7\seg7.runs\synth_1\runme.sh 1171 2018-04-01
seg7\seg7.runs\synth_1\seg7.dcp 14151 2018-04-01
seg7\seg7.runs\synth_1\seg7.tcl 2201 2018-04-01
seg7\seg7.runs\synth_1\seg7.vds 17472 2018-04-01
seg7\seg7.runs\synth_1\seg7_utilization_synth.pb 242 2018-04-01
seg7\seg7.runs\synth_1\seg7_utilization_synth.rpt 6774 2018-04-01

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com