CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 通讯/手机编程

文件名称:sin_out

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    5.74mb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

用vhal在qurtusII 7.2 实现的cpsk.dpsk.fsk.ask 四种方式可控制调制方式,用正弦波为载波,伪随机,作为测试信号
(系统自动生成,下载前可以参看下载内容)

下载文件列表

sin_out/ad.bsf
sin_out/ad.vhd
sin_out/ad.vhd.bak
sin_out/addr.bsf
sin_out/addr.vhd
sin_out/addr.vhd.bak
sin_out/addr_ask.bsf
sin_out/addr_ask.vhd
sin_out/addr_ask.vhd.bak
sin_out/addr_cos_sin.bsf
sin_out/addr_cos_sin.vhd
sin_out/addr_cos_sin.vhd.bak
sin_out/addr_cpsk.bsf
sin_out/addr_cpsk.vhd
sin_out/addr_cpsk.vhd.bak
sin_out/addr_dpsk.bsf
sin_out/addr_psk.vhd.bak
sin_out/db/altsyncram_vu41.tdf
sin_out/db/prev_cmp_sin_out.asm.qmsg
sin_out/db/prev_cmp_sin_out.eda.qmsg
sin_out/db/prev_cmp_sin_out.fit.qmsg
sin_out/db/prev_cmp_sin_out.map.qmsg
sin_out/db/prev_cmp_sin_out.qmsg
sin_out/db/prev_cmp_sin_out.sim.qmsg
sin_out/db/prev_cmp_sin_out.tan.qmsg
sin_out/db/sin_out.(0).cnf.cdb
sin_out/db/sin_out.(0).cnf.hdb
sin_out/db/sin_out.(1).cnf.cdb
sin_out/db/sin_out.(1).cnf.hdb
sin_out/db/sin_out.(10).cnf.cdb
sin_out/db/sin_out.(10).cnf.hdb
sin_out/db/sin_out.(2).cnf.cdb
sin_out/db/sin_out.(2).cnf.hdb
sin_out/db/sin_out.(3).cnf.cdb
sin_out/db/sin_out.(3).cnf.hdb
sin_out/db/sin_out.(4).cnf.cdb
sin_out/db/sin_out.(4).cnf.hdb
sin_out/db/sin_out.(5).cnf.cdb
sin_out/db/sin_out.(5).cnf.hdb
sin_out/db/sin_out.(6).cnf.cdb
sin_out/db/sin_out.(6).cnf.hdb
sin_out/db/sin_out.(7).cnf.cdb
sin_out/db/sin_out.(7).cnf.hdb
sin_out/db/sin_out.(8).cnf.cdb
sin_out/db/sin_out.(8).cnf.hdb
sin_out/db/sin_out.(9).cnf.cdb
sin_out/db/sin_out.(9).cnf.hdb
sin_out/db/sin_out.asm.qmsg
sin_out/db/sin_out.cbx.xml
sin_out/db/sin_out.cmp.bpm
sin_out/db/sin_out.cmp.cdb
sin_out/db/sin_out.cmp.ecobp
sin_out/db/sin_out.cmp.hdb
sin_out/db/sin_out.cmp.logdb
sin_out/db/sin_out.cmp.rdb
sin_out/db/sin_out.cmp.tdb
sin_out/db/sin_out.cmp0.ddb
sin_out/db/sin_out.cmp_bb.cdb
sin_out/db/sin_out.cmp_bb.hdb
sin_out/db/sin_out.cmp_bb.logdb
sin_out/db/sin_out.cmp_bb.rcf
sin_out/db/sin_out.dbp
sin_out/db/sin_out.db_info
sin_out/db/sin_out.eco.cdb
sin_out/db/sin_out.eda.qmsg
sin_out/db/sin_out.eds_overflow
sin_out/db/sin_out.fit.qmsg
sin_out/db/sin_out.hier_info
sin_out/db/sin_out.hif
sin_out/db/sin_out.map.bpm
sin_out/db/sin_out.map.cdb
sin_out/db/sin_out.map.ecobp
sin_out/db/sin_out.map.hdb
sin_out/db/sin_out.map.logdb
sin_out/db/sin_out.map.qmsg
sin_out/db/sin_out.map_bb.cdb
sin_out/db/sin_out.map_bb.hdb
sin_out/db/sin_out.map_bb.logdb
sin_out/db/sin_out.pre_map.cdb
sin_out/db/sin_out.pre_map.hdb
sin_out/db/sin_out.psp
sin_out/db/sin_out.pss
sin_out/db/sin_out.rtlv.hdb
sin_out/db/sin_out.rtlv_sg.cdb
sin_out/db/sin_out.rtlv_sg_swap.cdb
sin_out/db/sin_out.sgdiff.cdb
sin_out/db/sin_out.sgdiff.hdb
sin_out/db/sin_out.signalprobe.cdb
sin_out/db/sin_out.sim.cvwf
sin_out/db/sin_out.sim.hdb
sin_out/db/sin_out.sim.qmsg
sin_out/db/sin_out.sim.rdb
sin_out/db/sin_out.sld_design_entry.sci
sin_out/db/sin_out.sld_design_entry_dsc.sci
sin_out/db/sin_out.syn_hier_info
sin_out/db/sin_out.tan.qmsg
sin_out/db/sin_out.tis_db_list.ddb
sin_out/db/wed.wsf
sin_out/fsk_jt.vhd.bak
sin_out/lpm_rom0.bsf
sin_out/lpm_rom0.cmp
sin_out/lpm_rom0.inc
sin_out/lpm_rom0.vhd
sin_out/lpm_rom0_inst.vhd
sin_out/lpm_rom0_wave0.jpg
sin_out/lpm_rom0_waveforms.html
sin_out/lpm_rom1.bsf
sin_out/lpm_rom1.cmp
sin_out/lpm_rom1.inc
sin_out/lpm_rom1.vhd
sin_out/lpm_rom1_inst.vhd
sin_out/lpm_rom1_wave0.jpg
sin_out/lpm_rom1_waveforms.html
sin_out/random.bsf
sin_out/random.vhd
sin_out/random.vhd.bak
sin_out/simulation/modelsim/altera_mf_87.vhd
sin_out/simulation/modelsim/cyclone_atoms.vhd
sin_out/simulation/modelsim/sin_out.cr.mti
sin_out/simulation/modelsim/sin_out.mpf
sin_out/simulation/modelsim/sin_out.vho
sin_out/simulation/modelsim/sin_out_modelsim.xrf
sin_out/simulation/modelsim/vsim.wlf
sin_out/simulation/modelsim/work/alt3pram/behavior.dat
sin_out/simulation/modelsim/work/alt3pram/behavior.psm
sin_out/simulation/modelsim/work/alt3pram/_primary.dat
sin_out/simulation/modelsim/work/altaccumulate/behaviour.dat
sin_out/simulation/modelsim/work/altaccumulate/behaviour.psm
sin_out/simulation/modelsim/work/altaccumulate/_primary.dat
sin_out/simulation/modelsim/work/altcam/behave.dat
sin_out/simulation/modelsim/work/altcam/behave.psm
sin_out/simulation/modelsim/work/altcam/_primary.dat
sin_out/simulation/modelsim/work/altcdr_rx/struct.dat
sin_out/simulation/modelsim/work/altcdr_rx/struct.psm
sin_out/simulation/modelsim/work/altcdr_rx/_primary.dat
sin_out/simulation/modelsim/work/altcdr_tx/struct.dat
sin_out/simulation/modelsim/work/altcdr_tx/struct.psm
sin_out/simulation/modelsim/work/altcdr_tx/_primary.dat
sin_out/simulation/modelsim/work/altclklock/behavior.dat
sin_out/simulation/modelsim/work/altclklock/behavior.psm
sin_out/simulation/modelsim/work/altclklock/_primary.dat
sin_out/simulation/modelsim/work/altddio_bidir/struct.dat
sin_out/simulation/modelsim/work/altddio_bidir/struct.psm
sin_out/simulation/modelsim/work/altddio_bidir/_primary.dat
sin_out/simulation/modelsim/work/altddio_in/behave.dat
sin_out/simulation/modelsim/work/altddio_in/behave.psm
sin_out/simulation/modelsim/work/altddio_in/_primary.dat
sin_out/simulation/modelsim/work/altddio_out/behave.dat
sin_out/simulation/modelsim/work/altddio_out/behave.psm
sin_out/simulation/modelsim/work/altddio_out/_primary.dat
sin_out/simulation/modelsim/work/altdpram/behavior.dat
sin_out/sim

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com