CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:test_ADC

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2019-11-20
  • 文件大小:
    12.05mb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
相关搜索: verilog hdl fpga adc DAC

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
test_ADC 0 2019-11-10
test_ADC\test_ADC.cache 0 2019-11-09
test_ADC\test_ADC.cache\compile_simlib 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\activehdl 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\ies 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\modelsim 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\questa 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\riviera 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\vcs 0 2019-11-07
test_ADC\test_ADC.cache\compile_simlib\xcelium 0 2019-11-07
test_ADC\test_ADC.cache\ip 0 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2 0 2019-11-10
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e.logs 0 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e.logs\runme.log 31126 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e 0 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\8162bc2d1b3f291e.xci 12784 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\blk_mem_gen_0.dcp 26494 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\blk_mem_gen_0_sim_netlist.v 28882 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\blk_mem_gen_0_sim_netlist.vhdl 44527 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\blk_mem_gen_0_stub.v 1337 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\blk_mem_gen_0_stub.vhdl 1472 2019-11-08
test_ADC\test_ADC.cache\ip\2018.2\8162bc2d1b3f291e\stats.txt 111 2019-11-08
test_ADC\test_ADC.cache\wt 0 2019-11-07
test_ADC\test_ADC.cache\wt\gui_handlers.wdf 12261 2019-11-10
test_ADC\test_ADC.cache\wt\java_command_handlers.wdf 3246 2019-11-10
test_ADC\test_ADC.cache\wt\project.wpc 122 2019-11-10
test_ADC\test_ADC.cache\wt\synthesis.wdf 5382 2019-11-10
test_ADC\test_ADC.cache\wt\synthesis_details.wdf 100 2019-11-10
test_ADC\test_ADC.cache\wt\webtalk_pa.xml 10459 2019-11-10
test_ADC\test_ADC.cache\wt\xsim.wdf 239 2019-11-10
test_ADC\test_ADC.hw 0 2019-11-10
test_ADC\test_ADC.hw\hw_1 0 2019-11-07
test_ADC\test_ADC.hw\hw_1\hw.xml 782 2019-11-10
test_ADC\test_ADC.hw\hw_1\wave 0 2019-11-07
test_ADC\test_ADC.hw\test_ADC.lpr 343 2019-11-07
test_ADC\test_ADC.ip_user_files 0 2019-11-09
test_ADC\test_ADC.ip_user_files\ip 0 2019-11-08
test_ADC\test_ADC.ip_user_files\ip\blk_mem_gen_0 0 2019-11-10
test_ADC\test_ADC.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0.veo 2983 2019-11-10
test_ADC\test_ADC.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0.vho 3226 2019-11-10
test_ADC\test_ADC.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0_stub.v 1276 2019-11-08
test_ADC\test_ADC.ip_user_files\ip\blk_mem_gen_0\blk_mem_gen_0_stub.vhdl 1355 2019-11-08
test_ADC\test_ADC.ip_user_files\ipstatic 0 2019-11-08
test_ADC\test_ADC.ip_user_files\ipstatic\simulation 0 2019-11-08
test_ADC\test_ADC.ip_user_files\ipstatic\simulation\blk_mem_gen_v8_4.v 171256 2019-11-08
test_ADC\test_ADC.ip_user_files\mem_init_files 0 2019-11-10
test_ADC\test_ADC.ip_user_files\mem_init_files\blk_mem_gen_0.mif 2304 2019-11-10
test_ADC\test_ADC.ip_user_files\mem_init_files\sine.coe 792 2019-11-10
test_ADC\test_ADC.ip_user_files\mem_init_files\summary.log 899 2019-11-08
test_ADC\test_ADC.ip_user_files\README.txt 130 2019-11-07
test_ADC\test_ADC.ip_user_files\sim_scripts 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\blk_mem_gen_0.mif 2304 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\blk_mem_gen_0.sh 4959 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\blk_mem_gen_0.udo 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\compile.do 694 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\file_info.txt 441 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\glbl.v 1474 2018-06-15
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\README.txt 2201 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\simulate.do 340 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\sine.coe 792 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\summary.log 899 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\activehdl\wave.do 32 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\blk_mem_gen_0.mif 2304 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\blk_mem_gen_0.sh 5713 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\file_info.txt 441 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\glbl.v 1474 2018-06-15
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\README.txt 2142 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\run.f 501 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\sine.coe 792 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\ies\summary.log 899 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\blk_mem_gen_0.mif 2304 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\blk_mem_gen_0.sh 5119 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\blk_mem_gen_0.udo 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\compile.do 778 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\file_info.txt 441 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\glbl.v 1474 2018-06-15
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\README.txt 2201 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\simulate.do 341 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\sine.coe 792 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\summary.log 899 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\modelsim\wave.do 32 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\blk_mem_gen_0.mif 2304 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\blk_mem_gen_0.sh 5230 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\blk_mem_gen_0.udo 0 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\compile.do 744 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\elaborate.do 213 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\file_info.txt 441 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\glbl.v 1474 2018-06-15
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\README.txt 2201 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\simulate.do 203 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\sine.coe 792 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\summary.log 899 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\questa\wave.do 32 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\README.txt 3236 2019-11-08
test_ADC\test_ADC.ip_user_files\sim_scripts\blk_mem_gen_0\riviera 0 2019-11-08

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com