CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:SIIGX_PCIe_Kit

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    40.66mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于SIIGX的PCIe的Kit,包含硬件原理图,pcb图,驱动,和示例代码
(系统自动生成,下载前可以参看下载内容)

下载文件列表

SIIGX_PCIe_Kit/BoardDesignFiles/Assembly/SIIGX_PCIe_BOM_RevC.xls
SIIGX_PCIe_Kit/BoardDesignFiles/Assembly/siigx_pcie_c_assy_sht1.pdf
SIIGX_PCIe_Kit/BoardDesignFiles/Assembly/siigx_pcie_c_assy_sht2.pdf
SIIGX_PCIe_Kit/BoardDesignFiles/Layout/siigx_pcie_c.brd
SIIGX_PCIe_Kit/BoardDesignFiles/Layout/siigx_pcie_c_fab_sht1.pdf
SIIGX_PCIe_Kit/BoardDesignFiles/Layout/siigx_pcie_c_stackup.pdf
SIIGX_PCIe_Kit/BoardDesignFiles/Schematic/allegro/pstchip.dat
SIIGX_PCIe_Kit/BoardDesignFiles/Schematic/allegro/pstxnet.dat
SIIGX_PCIe_Kit/BoardDesignFiles/Schematic/allegro/pstxprt.dat
SIIGX_PCIe_Kit/BoardDesignFiles/Schematic/S2GXPCIE.DSN
SIIGX_PCIe_Kit/BoardDesignFiles/Schematic/s2gxpcie.opj
SIIGX_PCIe_Kit/BoardDesignFiles/Schematic/s2gxpcie.pdf
SIIGX_PCIe_Kit/Docs/L01-43006-00_SIIGX_PCIe_DCL.pdf
SIIGX_PCIe_Kit/Docs/P25-36002-01_SIIGX_PCIe_UserGuide.pdf
SIIGX_PCIe_Kit/Docs/SIIGX_PCIe_ReferenceManual_08Aug06.pdf
SIIGX_PCIe_Kit/Drivers/altera.inf
SIIGX_PCIe_Kit/Drivers/install.bat
SIIGX_PCIe_Kit/Drivers/pcie.exe
SIIGX_PCIe_Kit/Drivers/unins.bat
SIIGX_PCIe_Kit/Drivers/wdlib.dll
SIIGX_PCIe_Kit/Drivers/wdreg.exe
SIIGX_PCIe_Kit/Drivers/wd_utils.dll
SIIGX_PCIe_Kit/Drivers/windrvr6.inf
SIIGX_PCIe_Kit/Drivers/windrvr6.sys
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc.qar
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/add_constraints_for_ddr2_topecc.tcl
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr2_init.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_avalon_if.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_bank_details.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_controller.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_functions.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_input_buf.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_tb_functions.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/auk_ddr_timers.vhd
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/altera/MegaCore/ddr_ddr2_sdram-v3.4.0/lib/example_lfsr8.v
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/assignment_defaults.qdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/auto_add_ddr_constraints.tcl
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/auto_verify_ddr_timing.tcl
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/constraints_out.txt
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/altsyncram_a6e1.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/a_dpfifo_7u11.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/cntr_bu8.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/cntr_cu8.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/cntr_qs7.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddio_out_t6f.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddio_out_tkf.tdf
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(0).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(0).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(1).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(1).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(10).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(10).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(11).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(11).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(12).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(12).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(13).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(13).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(14).cnf.cdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_restored/db/ddr2_v340_ecc.(14).cnf.hdb
SIIGX_PCIe_Kit/Examples/ManufacturingTestDesigns/ddr2_v340_ecc_re

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com