CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:实现USB接口功能的VHDL和verilog完整源代码

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-09-02
  • 文件大小:
    253.79kb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

实现USB接口功能的VHDL和verilog完整源代码,Implementation USB interface functions of the VHDL and Verilog source code integrity
(系统自动生成,下载前可以参看下载内容)

下载文件列表

usb完整代码/vhdl/hdli.vhd
usb完整代码/vhdl/usb_new_clkrec_ent.vhdl
usb完整代码/vhdl/usb_new_clkrec_rtl.vhdl
usb完整代码/vhdl/usb_new_device_handler_ent.vhdl
usb完整代码/vhdl/usb_new_device_handler_rtl.vhdl
usb完整代码/vhdl/usb_new_ep_handler_ent.vhdl
usb完整代码/vhdl/usb_new_ep_handler_rtl.vhdl
usb完整代码/vhdl/usb_new_glue_ent.vhdl
usb完整代码/vhdl/usb_new_glue_rtl.vhdl
usb完整代码/vhdl/usb_new_pck_apb.vhdl
usb完整代码/vhdl/usb_new_pck_commands.vhdl
usb完整代码/vhdl/usb_new_pck_configuration.vhdl
usb完整代码/vhdl/usb_new_pck_general.vhdl
usb完整代码/vhdl/usb_new_pck_handlers.vhdl
usb完整代码/vhdl/usb_new_pck_setup.vhdl
usb完整代码/vhdl/usb_new_pck_usb.vhdl
usb完整代码/vhdl/usb_new_pvci_cntrl_ent.vhdl
usb完整代码/vhdl/usb_new_pvci_cntrl_rtl.vhdl
usb完整代码/vhdl/usb_new_pvci_eng_ent.vhdl
usb完整代码/vhdl/usb_new_pvci_eng_str.vhdl
usb完整代码/vhdl/usb_new_rcv_ram_ent.vhdl
usb完整代码/vhdl/usb_new_rcv_ram_rtl.vhdl
usb完整代码/vhdl/usb_new_rgen_ent.vhdl
usb完整代码/vhdl/usb_new_rgen_rtl.vhdl
usb完整代码/vhdl/usb_new_sieinterface_ent.vhdl
usb完整代码/vhdl/usb_new_sieinterface_rtl.vhdl
usb完整代码/vhdl/usb_new_sie_ent.vhdl
usb完整代码/vhdl/usb_new_sie_rtl.vhdl
usb完整代码/vhdl/usb_new_synchronizer_ent.vhdl
usb完整代码/vhdl/usb_new_synchronizer_rtl.vhdl
usb完整代码/vhdl/usb_new_timers_sf_ent.vhdl
usb完整代码/vhdl/usb_new_timers_sf_rtl.vhdl
usb完整代码/vhdl/usb_new_trnsmt_ram_ent.vhdl
usb完整代码/vhdl/usb_new_trnsmt_ram_rtl.vhdl
usb完整代码/vhdl/usb_new_tx_sf_dpdm_ent.vhdl
usb完整代码/vhdl/usb_new_tx_sf_dpdm_rtl.vhdl
usb完整代码/vhdl/usb_new_uc_handler_ent.vhdl
usb完整代码/vhdl/usb_new_uc_handler_rtl.vhdl
usb完整代码/vhdl/usb_new_upstreamled_ent.vhdl
usb完整代码/vhdl/usb_new_upstreamled_rtl.vhdl
usb完整代码/vhdl/usb_new_usbpvci_dft_ent.vhdl
usb完整代码/vhdl/usb_new_usbpvci_dft_str.vhdl
usb完整代码/vhdl/usb_new_usbpvci_ent.vhdl
usb完整代码/vhdl/usb_new_usbpvci_str.vhdl
usb完整代码/vhdl/usb_new_usbvpb_top_ent.vhdl
usb完整代码/vhdl/usb_new_usbvpb_top_str.vhdl
usb完整代码/vhdl/usb_new_usb_cntrl_ent.vhdl
usb完整代码/vhdl/usb_new_usb_cntrl_rtl.vhdl
usb完整代码/vhdl/usb_new_usb_int_ent.vhdl
usb完整代码/vhdl/usb_new_usb_int_str.vhdl
usb完整代码/vhdl/usb_new_vpb_wrapper_ent.vhdl
usb完整代码/vhdl/usb_new_vpb_wrapper_rtl.vhdl
usb完整代码/vhdl/usb_new_vsc9_ram.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_addressor.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_c0.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_c1.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_cm0.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_cm1.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_lfsr_c0.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_lfsr_c1.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_pkg.vhd
usb完整代码/vhdl/usb_new_vsc9_ram_xor_pkg.vhd
usb完整代码/vhdl
usb完整代码/verilog/usbmmc/cmd_transmit.v
usb完整代码/verilog/usbmmc/data_receive.v
usb完整代码/verilog/usbmmc/data_transmit.v
usb完整代码/verilog/usbmmc/gen_clock_5m.v
usb完整代码/verilog/usbmmc/mmc_tri_bus.v
usb完整代码/verilog/usbmmc/receive.v
usb完整代码/verilog/usbmmc/status_receive.v
usb完整代码/verilog/usbmmc/transcript
usb完整代码/verilog/usbmmc/transmit.v
usb完整代码/verilog/usbmmc/usbmmc.v
usb完整代码/verilog/usbmmc/usbmmc_box.v
usb完整代码/verilog/usbmmc/usbmmc_variable.v
usb完整代码/verilog/usbmmc
usb完整代码/verilog/usbcode/.README.swp
usb完整代码/verilog/usbcode/dffr.v
usb完整代码/verilog/usbcode/README
usb完整代码/verilog/usbcode/stm_def.v
usb完整代码/verilog/usbcode/tri_signal.v
usb完整代码/verilog/usbcode/U8051int.v
usb完整代码/verilog/usbcode/Ubulkfiforx.v
usb完整代码/verilog/usbcode/Ubulkfifotx.v
usb完整代码/verilog/usbcode/Ucore.v
usb完整代码/verilog/usbcode/UCtlreg.v
usb完整代码/verilog/usbcode/Udevctl.v
usb完整代码/verilog/usbcode/Udeviceintf.v
usb完整代码/verilog/usbcode/Udevrom.v
usb完整代码/verilog/usbcode/Udevsof.v
usb完整代码/verilog/usbcode/Udualfifo.srp
usb完整代码/verilog/usbcode/Udualfifo.v
usb完整代码/verilog/usbcode/Udualfiforx.srp
usb完整代码/verilog/usbcode/Udualfiforx.v
usb完整代码/verilog/usbcode/Udualfiforx_tmpl.v
usb完整代码/verilog/usbcode/Udualfifo_tmpl.v
usb完整代码/verilog/usbcode/Udual_mmc_sm.v
usb完整代码/verilog/usbcode/Udual_mmc_sm.v_sm
usb完整代码/verilog/usbcode/Ufifo.v
usb完整代码/verilog/usbcode/Ufifo3rd.v
usb完整代码/verilog/usbcode/Ufifocont.v
usb完整代码/verilog/usbcode/Ufiforx.v
usb完整代码/verilog/usbcode/Ufiforxcont.v
usb完整代码/verilog/usbcode/Ufpga2.v
usb完整代码/verilog/usbcode/Uparts.v
usb完整代码/verilog/usbcode/Usbmonitor.v
usb完整代码/verilog/usbcode/Usbtop.v
usb完整代码/verilog/usbcode/usb_mp3.v
usb完整代码/verilog/usbcode/usb_mp3_dual.v
usb完整代码/verilog/usbcode/usb_tb_def.v
usb完整代码/verilog/usbcode/Userialeng.v
usb完整代码/verilog/usbcode/Usiecntr.v
usb完整代码/verilog/usbcode/Usiecrc.v
usb完整代码/verilog/usbcode/Usiedcd.v
usb完整代码/verilog/usbcode/Usiedpll.v
usb完整代码/verilog/usbcode/Usienrzi.v
usb完整代码/verilog/usbcode/Usienrzo.v
usb完整代码/verilog/usbcode/Usiepkt.v
usb完整代码/verilog/usbcode/Utop.v
usb完整代码/verilog/usbcode/Utop_mmc_sm.v
usb完整代码/verilog/usbcode/Uusb_dual.v
usb完整代码/verilog/usbcode/Uusb_mmc_sm.v
usb完整代码/verilog/usbcode
usb完整代码/verilog/smarti/README
usb完整代码/verilog/smarti/smarti.v
usb完整代码/verilog/smarti/smarti_box.v
usb完整代码/verilog/smarti/smarti_params.v
usb完整代码/verilog/smarti/tri_bus.v
usb完整代码/verilog/smarti
usb完整代码/verilog/include/stm_def.v
usb完整代码/verilog/include/Udevconnections.v
usb完整代码/verilog/include/Udevctldef.v
usb完整代码/verilog/include/Udevendpoints.v
usb完整代码/verilog/include/Udevinouts.v
usb完整代码/verilog/include/Udevios.v
usb完整代码/verilog/include/Udevreadmux.v
usb完整代码/verilog/include/usb_tb_def.v
usb完整代码/verilog/include/Usiecntrdef.v
usb完整代码/veri

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com