CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 其他嵌入式/单片机内容

文件名称:ovm-2[1].0

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-15
  • 文件大小:
    1.65mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

This OVM 2.0 source code .Very useful for developing system verilog Env-This is OVM 2.0 source code .Very useful for developing system verilog Env
相关搜索: ovm OVM 2 download

(系统自动生成,下载前可以参看下载内容)

下载文件列表

ovm-2.0/
ovm-2.0/deprecated.txt
ovm-2.0/examples/
ovm-2.0/examples/basic_examples/
ovm-2.0/examples/basic_examples/do_test/
ovm-2.0/examples/basic_examples/do_test/compile_ius.f
ovm-2.0/examples/basic_examples/do_test/compile_questa_sv.f
ovm-2.0/examples/basic_examples/do_test/run_questa
ovm-2.0/examples/basic_examples/do_test/test.sv
ovm-2.0/examples/basic_examples/do_test/vsim.do
ovm-2.0/examples/basic_examples/ep/
ovm-2.0/examples/basic_examples/ep/compile_ius.f
ovm-2.0/examples/basic_examples/ep/compile_questa_sv.f
ovm-2.0/examples/basic_examples/ep/run_questa
ovm-2.0/examples/basic_examples/ep/test.sv
ovm-2.0/examples/basic_examples/ep/vsim.do
ovm-2.0/examples/basic_examples/module/
ovm-2.0/examples/basic_examples/module/compile_ius.f
ovm-2.0/examples/basic_examples/module/compile_questa_sv.f
ovm-2.0/examples/basic_examples/module/run_questa
ovm-2.0/examples/basic_examples/module/test.sv
ovm-2.0/examples/basic_examples/module/vsim.do
ovm-2.0/examples/basic_examples/pkg/
ovm-2.0/examples/basic_examples/pkg/compile_ius.f
ovm-2.0/examples/basic_examples/pkg/compile_questa_sv.f
ovm-2.0/examples/basic_examples/pkg/run_questa
ovm-2.0/examples/basic_examples/pkg/test.sv
ovm-2.0/examples/basic_examples/pkg/test_urm.sv
ovm-2.0/examples/basic_examples/pkg/vsim.do
ovm-2.0/examples/configuration/
ovm-2.0/examples/configuration/automated/
ovm-2.0/examples/configuration/automated/classA.svh
ovm-2.0/examples/configuration/automated/classB.svh
ovm-2.0/examples/configuration/automated/classC.svh
ovm-2.0/examples/configuration/automated/compile_ius.f
ovm-2.0/examples/configuration/automated/compile_questa_sv.f
ovm-2.0/examples/configuration/automated/my_env_pkg.sv
ovm-2.0/examples/configuration/automated/run_questa
ovm-2.0/examples/configuration/automated/top.sv
ovm-2.0/examples/configuration/automated/vsim.do
ovm-2.0/examples/configuration/manual/
ovm-2.0/examples/configuration/manual/classA.svh
ovm-2.0/examples/configuration/manual/classB.svh
ovm-2.0/examples/configuration/manual/classC.svh
ovm-2.0/examples/configuration/manual/compile_ius.f
ovm-2.0/examples/configuration/manual/compile_questa_sv.f
ovm-2.0/examples/configuration/manual/my_env_pkg.sv
ovm-2.0/examples/configuration/manual/run_questa
ovm-2.0/examples/configuration/manual/top.sv
ovm-2.0/examples/configuration/manual/vsim.do
ovm-2.0/examples/factory/
ovm-2.0/examples/factory/compile_ius.f
ovm-2.0/examples/factory/compile_questa_sv.f
ovm-2.0/examples/factory/env_pkg.sv
ovm-2.0/examples/factory/gen_pkg.sv
ovm-2.0/examples/factory/packet_pkg.sv
ovm-2.0/examples/factory/run_questa
ovm-2.0/examples/factory/test.sv
ovm-2.0/examples/factory/vsim.do
ovm-2.0/examples/hello_world/
ovm-2.0/examples/hello_world/ovm/
ovm-2.0/examples/hello_world/ovm/compile_ius.f
ovm-2.0/examples/hello_world/ovm/compile_questa_sv.f
ovm-2.0/examples/hello_world/ovm/consumer.sv
ovm-2.0/examples/hello_world/ovm/hello_world.sv
ovm-2.0/examples/hello_world/ovm/packet.sv
ovm-2.0/examples/hello_world/ovm/producer.sv
ovm-2.0/examples/hello_world/ovm/run_questa
ovm-2.0/examples/hello_world/ovm/top.sv
ovm-2.0/examples/hello_world/ovm/vsim.do
ovm-2.0/examples/ovm_examples/
ovm-2.0/examples/ovm_examples/mechanics/
ovm-2.0/examples/ovm_examples/mechanics/hierarchy/
ovm-2.0/examples/ovm_examples/mechanics/hierarchy/compile_ius.f
ovm-2.0/examples/ovm_examples/mechanics/hierarchy/compile_questa_sv.f
ovm-2.0/examples/ovm_examples/mechanics/hierarchy/hierarchy.sv
ovm-2.0/examples/ovm_examples/mechanics/hierarchy/run_questa
ovm-2.0/examples/ovm_examples/mechanics/hierarchy/vsim.do
ovm-2.0/examples/ovm_examples/mechanics/interfaces/
ovm-2.0/examples/ovm_examples/mechanics/interfaces/compile_ius.f
ovm-2.0/examples/ovm_examples/mechanics/interfaces/compile_questa_sv.f
ovm-2.0/examples/ovm_examples/mechanics/interfaces/interface.sv
ovm-2.0/examples/ovm_examples/mechanics/interfaces/run_questa
ovm-2.0/examples/ovm_examples/mechanics/interfaces/vsim.do
ovm-2.0/examples/ovm_examples/mechanics/producer_consumer/
ovm-2.0/examples/ovm_examples/mechanics/producer_consumer/compile_ius.f
ovm-2.0/examples/ovm_examples/mechanics/producer_consumer/compile_questa_sv.f
ovm-2.0/examples/ovm_examples/mechanics/producer_consumer/ports_and_exports.sv
ovm-2.0/examples/ovm_examples/mechanics/producer_consumer/run_questa
ovm-2.0/examples/ovm_examples/mechanics/producer_consumer/vsim.do
ovm-2.0/examples/ovm_examples/README.txt
ovm-2.0/examples/ovm_examples/tlm/
ovm-2.0/examples/ovm_examples/tlm/bidir/
ovm-2.0/examples/ovm_examples/tlm/bidir/bidir.sv
ovm-2.0/examples/ovm_examples/tlm/bidir/compile_ius.f
ovm-2.0/examples/ovm_examples/tlm/bidir/compile_questa_sv.f
ovm-2.0/examples/ovm_examples/tlm/bidir/run_questa
ovm-2.0/examples/ovm_examples/tlm/bidir/vsim.do
ovm-2.0/examples/ovm_examples/tlm/fifo/
ovm-2.0/examples/ovm_examples/tlm/fifo/compile_ius.f
ovm-2.0/examples/ovm_examples/tlm/fifo/compile_questa_sv.f
ovm-2.0/examples/ovm_examples/tlm/fifo/fifo.sv
ovm-2.0/examples/ovm_examples/tlm/fifo/run_questa
ovm-2.0/examples/ovm_examples/tlm/fifo/vsim.do
ovm-2.0/examples/phases/
ovm-2.0/examples/phases/basic/
ovm-2.0/examples/phases/basic/compile_ius.f

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com