CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 多媒体

文件名称:nova.tar

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    728.95kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

video decoder full hardware
(系统自动生成,下载前可以参看下载内容)

下载文件列表

nova/
nova/src/
nova/src/end_of_blk_decoding.v
nova/src/exp_golomb_decoding.v
nova/src/Intra_pred_top.v
nova/src/cavlc_decoder.v
nova/src/sum.v
nova/src/BitStream_parser_FSM_gating.v
nova/src/pc_decoding.v
nova/src/ext_frame_RAM1_wrapper.v
nova/src/heading_one_detector.v
nova/src/Beha_BitStream_ram.v
nova/src/ram_sync_1r_sync_1w.v
nova/src/rec_gclk_gen.v
nova/src/syntax_decoding.v
nova/src/nova_tb.v
nova/src/bs_decoding.v
nova/src/rec_DF_RAM_ctrl.v
nova/src/hybrid_pipeline_ctrl.v
nova/src/run_decoding.v
nova/src/NumCoeffTrailingOnes_decoding.v
nova/src/nova.v
nova/src/BitStream_buffer.v
nova/src/Intra4x4_PredMode_decoding.v
nova/src/Intra_pred_PE.v
nova/src/ram_async_1r_sync_1w.v
nova/src/dependent_variable_decoding.v
nova/src/Intra_pred_reg_ctrl.v
nova/src/BitStream_controller.v
nova/src/CodedBlockPattern_decoding.v
nova/src/total_zeros_decoding.v
nova/src/nova_defines.v
nova/src/reconstruction.v
nova/src/QP_decoding.v
nova/src/IQIT.v
nova/src/CVS/
nova/src/CVS/Repository
nova/src/CVS/Entries
nova/src/CVS/Root
nova/src/Intra_pred_pipeline.v
nova/src/ext_RAM_ctrl.v
nova/src/bitstream_gclk_gen.v
nova/src/cavlc_consumed_bits_decoding.v
nova/src/nC_decoding.v
nova/src/ext_frame_RAM0_wrapper.v
nova/src/timescale.v
nova/src/level_decoding.v
nova/doc/
nova/doc/nova_spec.doc
nova/doc/readme.txt
nova/doc/CVS/
nova/doc/CVS/Repository
nova/doc/CVS/Entries
nova/doc/CVS/Root
nova/MISC/
nova/MISC/readme.txt
nova/MISC/CVS/
nova/MISC/CVS/Repository
nova/MISC/CVS/Entries
nova/MISC/CVS/Root
nova/test/
nova/test/readme.txt
nova/test/bin2hex.pl
nova/test/CVS/
nova/test/CVS/Repository
nova/test/CVS/Entries
nova/test/CVS/Root
nova/test/hex2bin.cpp
nova/test/bitstream/
nova/test/bitstream/akiyo300_1ref.txt
nova/test/bitstream/CVS/
nova/test/bitstream/CVS/Repository
nova/test/bitstream/CVS/Entries
nova/test/bitstream/CVS/Root
nova/CVS/
nova/CVS/Repository
nova/CVS/Entries
nova/CVS/Root

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com