CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:RSOriginal

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    11.02mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

Reed-Solomon 信道编码广泛应用于DVB中-Reed-Solomon channel coding are widely used in DVB
(系统自动生成,下载前可以参看下载内容)

下载文件列表

RS/code version1/ModelSim_SE/Rsdecoder/vsim.wlf
RS/code version1/ModelSim_SE/Rsdecoder/wave.do
RS/code version1/ModelSim_SE/Rsdecoder/csee.do
RS/code version1/ModelSim_SE/Rsdecoder/csee_sub.do
RS/code version1/ModelSim_SE/Rsdecoder/testbench.v
RS/code version1/ModelSim_SE/Rsdecoder/csee1.do
RS/code version1/ModelSim_SE/Rsdecoder/testbench.v~
RS/code version1/ModelSim_SE/Rsdecoder/kes.do
RS/code version1/ModelSim_SE/Rsdecoder/wave1.do
RS/code version1/ModelSim_SE/Rsdecoder/work/_info
RS/code version1/ModelSim_SE/Rsdecoder/work/@c@s@e@eblock/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/@c@s@e@eblock/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/@c@s@e@eblock/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/register8_wlh/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/register8_wlh/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/register8_wlh/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/register8_wl/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/register8_wl/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/register8_wl/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/gfadder/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/gfadder/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/gfadder/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/asyncfifo256/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/asyncfifo256/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/asyncfifo256/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/fifo_register/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/fifo_register/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/fifo_register/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/@k@e@s_block/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/@k@e@s_block/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/@k@e@s_block/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/@r@s@decoder/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/@r@s@decoder/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/@r@s@decoder/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/@s@cblock/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/@s@cblock/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/@s@cblock/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_0/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_0/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_0/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_1/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_1/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_1/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_2/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_2/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_2/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_3/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_3/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_3/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_4/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_4/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_4/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_5/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_5/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_5/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_6/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_6/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_6/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_7/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_7/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_7/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_8/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_8/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_8/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_9/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_9/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_9/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_10/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_10/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_10/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_11/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_11/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_11/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_12/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_12/verilog.asm
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_12/_primary.dat
RS/code version1/ModelSim_SE/Rsdecoder/work/syndcell_13/_primary.vhd
RS/code version1/ModelSim_SE/Rsdecoder/work/syndc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com