CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:Memory

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    827.31kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

Example of a FIFO code in verilog language, to control a bus. With a memory stack and a testbench.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

Memory/.lso
Memory/fifo.cmd_log
Memory/fifo.ise
Memory/fifo.lso
Memory/fifo.ngc
Memory/fifo.ngr
Memory/fifo.prj
Memory/fifo.restore
Memory/fifo.stx
Memory/fifo.syr
Memory/fifo.v
Memory/fifo.xst
Memory/fifo2.v
Memory/fifo_stx.prj
Memory/fifo_summary.html
Memory/fifo_xst.xrpt
Memory/fuse.log
Memory/isim/isim.tmp_save/_1
Memory/isim/isimcrash.log
Memory/isim/simulate_dofile.log
Memory/isim/simulate_dofile.log_back
Memory/isim/temp/@memory.sdb
Memory/isim/temp/fifo.sdb
Memory/isim/temp/glbl.sdb
Memory/isim/temp/t_fifo2.sdb
Memory/isim/temp/t_memory.sdb
Memory/isim/work/@memory.sdb
Memory/isim/work/fifo.sdb
Memory/isim/work/glbl.sdb
Memory/isim/work/t_fifo2.sdb
Memory/isim/work/t_memory.sdb
Memory/isim/_tmp/work/m_00000000000174916593_0948142031.c
Memory/isim/_tmp/work/m_00000000000174916593_0948142031.didat
Memory/isim/_tmp/work/m_00000000000174916593_0948142031.nt.obj
Memory/isim/_tmp/work/m_00000000000866782574_2073120511.c
Memory/isim/_tmp/work/m_00000000000866782574_2073120511.didat
Memory/isim/_tmp/work/m_00000000000866782574_2073120511.nt.obj
Memory/isim/_tmp/work/m_00000000001913677352_0384621450.c
Memory/isim/_tmp/work/m_00000000001913677352_0384621450.didat
Memory/isim/_tmp/work/m_00000000001913677352_0384621450.nt.obj
Memory/isim/_tmp/work/m_00000000002027664520_1976403991.c
Memory/isim/_tmp/work/m_00000000002027664520_1976403991.didat
Memory/isim/_tmp/work/m_00000000002027664520_1976403991.nt.obj
Memory/isim/_tmp/work/m_00000000002770266905_2321183677.c
Memory/isim/_tmp/work/m_00000000002770266905_2321183677.didat
Memory/isim/_tmp/work/m_00000000002770266905_2321183677.nt.obj
Memory/isim/_tmp/work/m_00000000003480405444_0948142031.c
Memory/isim/_tmp/work/m_00000000003480405444_0948142031.didat
Memory/isim/_tmp/work/m_00000000003480405444_0948142031.nt.obj
Memory/isim/_tmp/work/m_00000000003847181899_0384621450.c
Memory/isim/_tmp/work/m_00000000003847181899_0384621450.didat
Memory/isim/_tmp/work/m_00000000003847181899_0384621450.nt.obj
Memory/isim/_tmp/work/t_fifo2_isim_beh.exe_lib.c
Memory/isim/_tmp/work/t_fifo2_isim_beh.exe_lib.nt.dll
Memory/isim/_tmp/work/t_fifo2_isim_beh.exe_lib.nt.obj
Memory/isim/_tmp/work/t_fifo2_isim_beh.exe_main.c
Memory/isim/_tmp/work/t_memory_isim_beh.exe_lib.c
Memory/isim/_tmp/work/t_memory_isim_beh.exe_lib.nt.dll
Memory/isim/_tmp/work/t_memory_isim_beh.exe_lib.nt.obj
Memory/isim/_tmp/work/t_memory_isim_beh.exe_main.c
Memory/isim.cmd
Memory/isim.hdlsourcefiles
Memory/isim.log
Memory/isimwavedata.xwv
Memory/Memory.ise
Memory/Memory.ngc
Memory/Memory.ngr
Memory/Memory.ntrc_log
Memory/Memory.restore
Memory/Memory.v
Memory/Memory_ise10migration.zip
Memory/Memory_summary.html
Memory/Memory_xdb/tmp/ise/version
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ISimPlugin/SignalOrdering1/t_fifo2_isim_beh.exe
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ISimPlugin/SignalOrdering1/t_fifo2_isim_beh.exe_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ISimPlugin/SignalOrdering1/t_memory_isim_beh.exe
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ISimPlugin/SignalOrdering1/t_memory_isim_beh.exe_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects__
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects___StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-fifo
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-fifo_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Memory
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Memory_StrTbl
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default
Memory/Memory_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
Memory/Memory_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys
Memory/Memory_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys
Memory/Memory_xdb/tmp/ise/__REGISTRY__/common/regkeys
Memory/Memory_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys
Memory/Mem

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com