CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乐曲

搜索资源列表

  1. songer

    0下载:
  2. 根据给出的乘法器逻辑原理图及其各模块的VHDL描述,学习利用数控分频器设计硬件乐曲演奏电路-According to the logic given multiplier module schematic and its VHDL descr iption, learning to use the numerical design of the hardware musical performances divider circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:11761
    • 提供者:chen
  1. dianziqin

    0下载:
  2. 汇编语言实现电子琴功能: a.选择利用实验仪扬声器/PC 机内8253 驱动内扬声器与键盘1,2,3,4,5,6,7,8 设计一个电子琴通过按数字键响相应的乐符1,2,3,4,5,6,7,ⅰ,使能演奏简单的乐曲。按1~8数字键时同时点亮相应的8个LED 灯,使具有声、光效果; b.利用实验仪DAC 和喇叭,软件控制上述功能.。选择机内还是实验仪由开关设置,可随时切换; c.在8×8 点阵上表示音阶高低; d.精确显示从第一个键按下后的时间。 并进一步完成了能准确记录开始演奏到
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:2550
    • 提供者:杨晓岚
  1. 05KBMidi

    0下载:
  2. 用c语言写的一个windows应用程序,可以实现简单的电子琴功能,当然要有音乐基础才弹得出好听的乐曲-Using c language written in a windows application that can achieve a simple organ function, of course, music must be the basis of pleasant music until shells come
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-02
    • 文件大小:984679
    • 提供者:明定
  1. liangzhu

    0下载:
  2. 梁祝乐曲播放VHDL源代码,可以演奏乐曲,在FPGA中可以实现其功能。-Lovers music player VHDL source code, you can play music, can be achieved in the FPGA in its functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:939
    • 提供者:王凯
  1. dianziqin

    0下载:
  2. 本次课程实践是利用keil uvision3软件和ISIS7 professional软件设计一个基于LCP2138芯片的简易电子琴仿真,能够实现16个琴键,具有电子琴功能,能选择和循环播放3首乐曲 ,音量可调这三个基本功能。-The course practice is to use keil uvision3 ISIS7 professional software design software and a simple keyboard-based LCP2138 chip simulat
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-22
    • 文件大小:145901
    • 提供者:tan
  1. music

    0下载:
  2. 借助于功能强大的EDA工具和硬件描述语言,以纯硬件完成乐曲演奏电路。程序中的歌曲是同一首歌。-With powerful EDA tools and hardware descr iption language, in order to complete the music play pure hardware circuitry. Program in the song is the same song.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1410
    • 提供者:杜伟南
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. vhdl

    0下载:
  2. 关羽用VHDL语言设计乐曲硬件演奏电路以及研究方案-Guan Yu using VHDL, circuit design, and music playing hardware, research programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:236321
    • 提供者:丁浩
  1. Musicplayeddesign

    0下载:
  2. 音乐演奏程序设计,能实现用电脑声卡产生乐曲,并有功能界面!-Music programming, to achieve with computer generated music sound card, and a functional interface!
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:2272
    • 提供者:王庆飞
  1. Time0chanshengyuequ

    0下载:
  2. 开程序可以产生美妙的乐曲,它是利用定时器产生的,适合于个人的小制作上-Open procedures can produce wonderful music, which is generated using the timer, suitable for small production on the individual
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:85226
    • 提供者:电泵004
  1. VHDLbaseddesignofmusicplayer

    0下载:
  2. 在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计,并在此基础上,基于同一原理,使此电路同时具备了简易电子琴的功能,使基于CPLD/FPGA芯片的乐曲播放数字电路得到了更好的优化,提高了设计的灵活性和可扩展性。- Based on the QuartusII-the EDA development tool, this design has adopted the method of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:97461
    • 提供者:bianwei
  1. Dynamicly_display_the_leds_on_the_FPGA

    1下载:
  2. 基于FPGA动态显示乐曲演奏器片上系统的分析与设计-Dynamicly display the leds on the FPGA
  3. 所属分类:Document

    • 发布日期:2017-04-05
    • 文件大小:170835
    • 提供者:孙凯
  1. liangzhu

    0下载:
  2. 设计一个能演奏梁祝的电子琴。要以写入其它的乐曲进行演奏-Butterfly design a can play the keyboard. To write music to be performed other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4794
    • 提供者:周鹏
  1. pmusic

    0下载:
  2. 用汇编语言编写的音乐演奏程序,通过键盘操作可以弹奏简单的乐曲。-Written in assembly language music program, through the keyboard to play simple music.
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2547
    • 提供者:董奇
  1. songer

    0下载:
  2. vhdl实现乐曲演奏,乐曲可以自行替换,led显示音调.-vhdl achieve music performances, music can replace on their own, led display tone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:529852
    • 提供者:周鹏
  1. bad543a0-26f0-41d0-b0d3-671ac96f9178

    0下载:
  2. 2、 音乐播放系统控制 实现功能: ① 制作一个菜单,使用菜单条选择功能,让用户选择演奏的乐曲。 ② 用定时器方式演奏画皮之主题曲《画心》实现音乐演奏。 ③ 提示使用ESC键或者ENTER键可以退出当前过程或返回DOS。 ④ 实现控制变量可以控制不同的效果以及要求,如播放速度,间隔等。 ⑤ 界面美观,程序结构化程度高,模块结构合理。 ⑥ 设计出相应的音乐取码软件。 -2, control music playback system to achieve funct
  3. 所属分类:Document

    • 发布日期:2017-03-27
    • 文件大小:144141
    • 提供者:某某
  1. Chigh-levelprogramminglanguage

    0下载:
  2. C语言高级编程技术,TC系统提供的函数实现菜单设计,图形绘制,动画、乐曲的播放-C high-level programming language, TC system functions to achieve the menu design, graphics rendering, animation, music playback. .
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:664997
    • 提供者:许章富
  1. music

    0下载:
  2. 一个简易的乐曲演奏电路,可自动演奏青花瓷片段,如需演奏其他的歌曲,可自行根据其音符频率进行修改-one easy music player.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:563901
    • 提供者:丁俏燕
  1. miaobiao

    0下载:
  2. 一个简易的乐曲演奏电路,可自动演奏青花瓷片段,如需演奏其他的歌曲,可自行根据其音符频率进行修改-one easy music player.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2195
    • 提供者:丁俏燕
  1. song

    0下载:
  2. 同一首歌 乐曲演奏程序 文本格式 在p89V51单片机上实验过 好用-Playing the same song music program text format p89V51 been useful experimental SCM
  3. 所属分类:CSharp

    • 发布日期:2017-03-31
    • 文件大小:2707
    • 提供者:大孟
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 17 »
搜珍网 www.dssz.com