CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车计费

搜索资源列表

  1. jiyuPICchuzuchejijiaxitongdesheji

    0下载:
  2. 介绍当前出租车计价器存在的问题, 并在此基础上提出一种基于PIC16F877单片机的多功能出租车计价器的设计方 案, 除实现正常的计费功能,还具有防作弊、掉电保护等能力, 对其硬软件实现进行了详细阐述, 并验证其可行性。-Several current problemsarediscussedandonekindof designscheme for themultifunctional taximeter basedonPIC16F877isprovided. It realizest
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:550720
    • 提供者:Ruirui
  1. PROTEUS_AT24C02chuzuchejijiaqi

    0下载:
  2. AT89C52单片机为核心,完成出租车计价器的设计。采用AT24C02实现在系统掉电时保护,计费显示 采用8位8段数码管显示,该设计不仅可以计费,还可以实现白天黑夜的调价, 以及中途等待一段时间后总金额的增 加,另外设置清零里程按钮用于清零里程和总金额。利用proteus仿真软件模拟出租车计价的功能。-WithAT89C52asthecore, thearticlecompletesthedesignof taximeter, whichrealizesthepro-tectionof
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:382582
    • 提供者:Ruirui
  1. 10051123

    0下载:
  2. 实现秒表控制,最终实现对出租车的计费器进行智能控制-Stopwatch control, and ultimately the intelligent control of the taxi meter
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-05-02
    • 文件大小:579401
    • 提供者:王仁俊
  1. TAXI_TOLL_1_1

    1下载:
  2. 实现出租车自动计费器 能进行LCD1602液晶显示。硬件平台:Xilinx Spartan3E -Use VHDL languange to achieve the automatic taxi meter and display cost,waiting time and distance on the LCD1602 . Hardware platforms: Xilinx Spartan3E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:3153129
    • 提供者:linjunlan
  1. taxi

    0下载:
  2. 基于maxplus2的出租车计价器设计有行走和停止两种计费状态-failed to translate
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:58470
    • 提供者:lmk
  1. chuzucheFPGA

    0下载:
  2. 设计一个出租车计价器,主要功能: (1)当出租车启动时,开始记里程数,开始计费,其实费用为7.0。 (2)当出租车等待时,不计数和不收取费用。 (3)当出租车停止时,不进行任何计数和收取费用。 -Design a taxi meter, main functions: (1) When the taxi starts, starts counting mileage, start accounting, in fact, the cost of 7.0. (2) When t
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:5283
    • 提供者:卓青燕
  1. The-taxi-fare-register-automatically

    0下载:
  2. 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分-Design a taxi automatic message accounting, billing, including fare, mileage, charging, the waiting time for three parts
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-08
    • 文件大小:61504
    • 提供者:王涛
  1. yi

    0下载:
  2. 出租车计价器quartuII 应用在单片机上的出租车计价器 主要功能为起步价,计费显示,以及随着等待时间增加价钱-Taxi meter quartuII applications in SCM taxi meter main function is starting, charging display, as well as the waiting time increases the price
  3. 所属分类:SCM

    • 发布日期:2017-11-17
    • 文件大小:1555567
    • 提供者:Apache
  1. AutomaticbillingEDADesignTaxi

    0下载:
  2. 出租车自动计费器EDA设计,自动计时器给完全解释-Taxi EDA design automatic billing, automatic timer to fully explain
  3. 所属分类:Project Design

    • 发布日期:2017-11-05
    • 文件大小:238466
    • 提供者:张海波
  1. hangzhoutaxi

    0下载:
  2. 杭州出租车最新计费计算器,按照最新出台的计费方式计算。和出租车实际计费相同-Hangzhou taxi latest charges calculator
  3. 所属分类:transportation applications

    • 发布日期:2017-03-31
    • 文件大小:501752
    • 提供者:张清卿
  1. taxi2

    0下载:
  2. 出租车计程计费器 vhdl程序 数码管显示路程 车费-Metered taxi meter vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1443218
    • 提供者:梁家越
  1. Taximeter

    0下载:
  2. 出租车计价器(其中包括分频模块,计程模块,计时模块,计费模块,显示模块以及顶层模块),基于Verilog HDL语言,开发板是FPGA(Sparten 6 LXS45),开发环境是Xilinx。-Taxi meter (including frequency module, the meter module, timing module, billing module, display module and top-level module), based on Verilog HDL lang
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-14
    • 文件大小:3443383
    • 提供者:胡玉
  1. taxi-toll-system

    0下载:
  2. 出租车计价系统,一个用于出租车自动计时和计费的汇编语言代码-Taxi meter system, an automatic timing and billing for cab assembly language code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:6384
    • 提供者:qijie
  1. WH_TXN12_SVN

    0下载:
  2. 出租车计价器源码程序 (内含 基本计价器计费功能)- Taxi meter source program (containing basic meter billing functions)
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:1770444
    • 提供者:赵峰
  1. taxi

    0下载:
  2. 单片机设计一个出租车运行程序,能够很好的模拟出租车的计费程序的源程序-Single chip design a taxi operating procedures, can be very good simulation of the taxi billing procedures of the source
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4554
    • 提供者:李江
  1. VHDLjijiaqi

    0下载:
  2. 基于VHDL的出租车计价方式的计价器设计,包括暂停、起步功能,能够暂停计费和行驶计费,可修改计价方式,可在FPGA开发板上下载验证-Taximeter based on VHDL design, including pause, start function, to suspend billing and driving billing, you can modify the valuation method, you can download the verification board on
  3. 所属分类:Other systems

    • 发布日期:2017-12-15
    • 文件大小:2252800
    • 提供者:张钰竹
« 1 2 ... 5 6 7 8 9 10»
搜珍网 www.dssz.com