CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 实验板

搜索资源列表

  1. 4x4-keyboard_disp

    0下载:
  2. 编写程序完成以下功能,当按下4*4键盘区按键时,在数码管上显示实验板上标记的相应数字或字母。-Write a program to perform the following functions, when the 4* 4 keyboard zone button is pressed, the digital display numbers or letters corresponding experimental board marked.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-27
    • 文件大小:10751
    • 提供者:洛霜
  1. TEXT6

    0下载:
  2. 实验板中高两位和低两位数码管为两个独立计数器,利用键盘的两行按键通过外部中断方式分别控制它们的计数。每行选用三个按键分别作为一个计数器的启动、暂停、清0控制键。-High two and low-experimental board two digital tube into two independent counter, two rows of the keyboard keys by external interrupt, respectively, to control their co
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-17
    • 文件大小:30140
    • 提供者:zengbini
  1. 2个7段数码管

    1下载:
  2. 利用UP 实验板,设计一个8bit计数器,用其输出驱动EPF10K70RC240-4 外接的两个7段数码管
  3. 所属分类:VHDL编程

  1. LED

    0下载:
  2. ATMEGA128实验板配套实验程序\实验一 LED控制实验\LED.C
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-13
    • 文件大小:789
    • 提供者:tony
  1. pic18

    0下载:
  2. ● C语言程序例程。该子目录包括以下子程序: ——AD程序实现模拟量到数字量的转换功能; ——CAN程序实现CAN总线通讯功能; ——keyboard_check程序实现键盘的扫描查询方式输入; ——keyboard_disturb程序实现PORTB的"电平变化中断"进行键盘的输入; ——led0-8程序实现在8个LED上依次显示1~8数字; ——PWM程序用于使CCP1模块产生分辨率为10位的PWM波形,占空比为50 ; ——RS-232程序通过RS-232接口来完
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-10
    • 文件大小:2292788
    • 提供者:王涛
  1. 5

    0下载:
  2. 一种基于FPGA实验板上的键盘检测程序和LCD液晶显示驱动程序-A keyboard detection procedures based on FPGA board and the LCD display driver
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-07
    • 文件大小:1587
    • 提供者:xxc
  1. 4

    0下载:
  2. 一种基于FPGA实验板上实现51单片机的密码锁的驱动程序-51 microcontroller password lock driver based FPGA board.
  3. 所属分类:Driver Develop

    • 发布日期:2017-11-15
    • 文件大小:1013
    • 提供者:xxc
  1. led

    0下载:
  2. 实验板上的基础入门程序,文件是用汉字,这里上传不了,不只是led-The experimental panel Basics procedures file with Chinese characters, the upload will not work here, not only led
  3. 所属分类:SCM

    • 发布日期:2017-12-02
    • 文件大小:300063
    • 提供者:lao ma
  1. sine8_LED

    0下载:
  2. DSP实验板实验LED灯程序,对于初学者来说是很好的学习例程-DSP experiment board experiment LED lights program, for beginners is a good learning routines
  3. 所属分类:DSP program

    • 发布日期:2017-11-20
    • 文件大小:164987
    • 提供者:王建平
  1. UART

    0下载:
  2. 利用WAVE6000完成编译。在单片机实验板上运行通过。单片机之间串行通信实验:甲机将存储在片外RAM的一组立即数,通过串口发送到乙机,乙机接收到后保存到片外RAM中,串口采用工作方式1,波特率为4800。-Use WAVE6000 finished compiling. SCM experiment board to run through. Serial communication between the microcontroller experiment: A machine will
  3. 所属分类:SCM

    • 发布日期:2017-12-01
    • 文件大小:11471
    • 提供者:wiliamflea
  1. DS18B20

    0下载:
  2. 在实验板上读取DS18B20温度,通过LCD1602显示出来-To read DS18B20 temperature in the experimental board, through the LCD1602 display
  3. 所属分类:SCM

    • 发布日期:2017-11-25
    • 文件大小:3523
    • 提供者:
  1. DE2开发板的简单nios实验

    0下载:
  2. 一个基础的FPGA的实验,包括sopc搭建硬件平台到用NIOS II软件编写控制程序。本实验是基于DE2开发板做的,可直接下载入片内观察到流水灯的现象。
  3. 所属分类:VHDL编程

  1. disp

    0下载:
  2. 用实验板上的6位数码管显示1,2,3,4,5,6,可连续显示-Experimental panel six digital tube display 1, 2, 3, 4, 5, 6, continuous display
  3. 所属分类:assembly language

    • 发布日期:2017-11-23
    • 文件大小:1322
    • 提供者:朱志华
  1. VB-control-4X4-control-system

    0下载:
  2. 4X4键盘控制 按软件上的按钮 实验板数码管显示对应的数字 按实验板上的按键 软件对应的显示所按按键编码-4X4 keypad control button on the software test board digital tube display the number that corresponds to the corresponding keys on the software by experimental key coding
  3. 所属分类:SCM

    • 发布日期:2017-11-09
    • 文件大小:93386
    • 提供者:wangchen
  1. CPLD-digital-clock-design

    1下载:
  2. 基于CPLD实验板的多功能数字钟设计,运用VHDL编写程序-Multifunction digital clock design based on CPLD experimental board, the use of VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:73302
    • 提供者:木子李
  1. sci

    0下载:
  2. ti dsp-2812 的sci通信,实验板例程,调试通过-ti dsp-2812 sci communication, experimental board routines, debugging through
  3. 所属分类:DSP program

    • 发布日期:2017-11-18
    • 文件大小:153637
    • 提供者:高高高
  1. DE2-70

    0下载:
  2. DE2-70实验板详细资料,资料内含FPGA例程,多初学者有很大帮助-DE2-70 experimental plate details, information embedded FPGA routine, multi-beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:6936576
    • 提供者:lw
  1. song

    0下载:
  2. PIC16F87实现音乐播放程序 通过驱动实验板上的蜂鸣器发声,实现音乐的播放。 -The PIC16F87 music player through the drive experimental panel buzzer sound, music playback.
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:2616
    • 提供者:hardveiy
  1. 3

    0下载:
  2. 实验板中高两位和低两位数码管为两个独立计数器,利用键盘的两行按键通过外部中断方式分别控制它们的计数。每行选用三个按键分别作为一个计数器的启动、暂停、清0控制键。-High two and low-experimental board two digital tube into two independent counter, two rows of the keyboard keys by external interrupt, respectively, to control their co
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:1095
    • 提供者:qiang
  1. hongwaitest

    0下载:
  2. 本例子是基于51单片的红外测试程序,在实验板上调试通过,请大家放心使用。-This example is based on 51 single-chip infrared testing procedures, in the experiment board through debugging, please rest assured that use.
  3. 所属分类:Communication

    • 发布日期:2017-11-27
    • 文件大小:27752
    • 提供者:吴天
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com