CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 控制逻辑

搜索资源列表

  1. SYSB

    0下载:
  2. 这是一个大型设备的西门子S7200 PLC控制和采集程序。内部有计算时间的部分,设备根据液位的控制逻辑等,很有价值,值得初学者参考。-This is a large equipment SIEMENS PLC S7200 control and collection procedures. Internal calculation time, equipment, according to the level of control logic, and so on, very valuable,
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-30
    • 文件大小:18680
    • 提供者:张三
  1. Auto-door-control--Based-on-CAN

    0下载:
  2. 基于CAN总线的汽车车门控制系统设计,包含了车门的控制逻辑,功能较全面-Auto door control system Contains control logic door based on CAN Bus , features a more comprehensive
  3. 所属分类:Project Design

    • 发布日期:2017-05-06
    • 文件大小:850327
    • 提供者:renjiawei
  1. SHUDIANSHIYAN

    1下载:
  2. 利用quartus ii进行数电实验,包括数字电子钟;交通灯控制逻辑电路设计。有代码,仿真图。-Using quartus ii for a number of electrical experiments, including digital electronic clock traffic light control logic circuit design. A code, simulation map.
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:327142
    • 提供者:张倩
  1. TinyMVC-1.2.2.tar

    0下载:
  2. TinyMVC 是一个 PHP 的 MVC (Model-View-Controller) 应用程序框架,提供了数据库操作、表现层以及控制逻辑的分离。-TinyMVC is a PHP MVC (Model-View-Controller) application framework that provides operations, the presentation layer and the separation of control logic.
  3. 所属分类:Web Server

    • 发布日期:2017-05-04
    • 文件大小:109393
    • 提供者:ew
  1. zhonghuanAGVpro

    0下载:
  2. 采用ALTERA FPGA,nios ii内核的AGV控制程序,里面包含了PID算法及相关AGV控制逻辑-Using ALTERA FPGA, nios ii kernel AGV control program, which includes a PID algorithm and control logic associated AGV
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:27108318
    • 提供者:孙成文
  1. watch_dog

    0下载:
  2. 基于EPM1270F256实现的4路看门狗控制逻辑,实现了滤波、延时、复位功能。-Based on EPM1270F256 4 road guard dog control logic, to realize the function of filtering, time delay and reset.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:392101
    • 提供者:陈传开
  1. w5500_spi_fpga

    0下载:
  2. 共两个文件,一个是对网络芯片W5500进行控制的master spi接口,另一个是w5500命令控制逻辑,命令格式按照w5500芯片的要求,分为地址段,控制段和数据段进行统一控制。此外提供w5500芯片初始化及读写控制流程图。-A total of two documents, one is the master SPI interface for network control chip W5500, the other is a w5500 command control logic, co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:55969
    • 提供者:nothing
  1. 代码

    0下载:
  2. 对工业控制逻辑代码进行分析并处理出01控制码(Analyze the industrial control logic code and work out the 01 control code)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:2048
    • 提供者:张亲
  1. Fuzzy PID Logic

    0下载:
  2. 根据模糊PID控制逻辑建立PID的三个输出参数的模糊控制逻辑fis文件(According to the fuzzy PID control logic, the fuzzy control logic FIS file of the three output parameters of PID is set up.)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-23
    • 文件大小:2048
    • 提供者:nine99
  1. MWC

    1下载:
  2. keil环境下开源飞控程序源码,包含完整控制逻辑和算法(Keil environment, open-source flight control program source code, including complete control logic and algorithms)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-18
    • 文件大小:30942208
    • 提供者:qweqsadfqwe
  1. 源代码

    1下载:
  2. 本项目实现了基于STM32F4 平台的全向运动平台,并实现与手机交互。该平台充分运用了STM32F4 平台的强大性能与平台控制系统的便利性,实现了视觉追踪与控制逻辑生成,采用Android ADK框架进行板间通信,具有较强的兼容性。该项目在工业和民用领域具有较好的实用价值,如自然语言交互机器人、自主移动拍摄平台等(This project realizes the omni-directional moving platform based on STM32F4 platform, and re
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:4781056
    • 提供者:kityy
  1. lab

    0下载:
  2. 在本实验中,将使用MAC驱动,填充和非填充逻辑以及控制逻辑,在系统生成器中创建基于MAC的FIR过滤器。 滤波器是设计用于消除音频系统中高频噪声的带通滤波器。(Development and debugging)
  3. 所属分类:图形图像处理

    • 发布日期:2018-01-06
    • 文件大小:24576
    • 提供者:哎呀丫丫
  1. 7

    0下载:
  2. 建立学生数据库及其信息表,创建java项目并为其增加Spring、Hibernate开发能力,Struts 2 将JSP中的页面跳转控制功能分离出来,而当它要执行控制逻辑的具体处理是就直接使用Spring中的Action模块; Action在处理中若要访问数据库,则通过DAO组件提供的接口,而接口的实现类才能直接操作数据库,实现插入数据(包括学号,姓名,专业,成绩),查询显示数据; Hibernate将数据库表持久化为POJO类,DAO类再以面向对象方式从已持久化了的POJO类中读取数据。(In
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:4671488
    • 提供者:撒按时
  1. SPWM单相全桥逆变代码

    0下载:
  2. 逆变器(inverter,又称变流器、反流器,或称反用换流器、电压转换器)是一个利用高频电桥电路将直流电变换成交流电的电子器件,其目的与整流器相反。可将12V或24V的直流电转换成230V、50Hz交流电或其它类型的交流电。它由逆变桥、控制逻辑和滤波电路组成(Inverter (also known as converter, inverter, or inverter inverter, voltage converter) is a high-frequency bridge circuit
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-19
    • 文件大小:10240
    • 提供者:bingochan12138
  1. 逆变器电路图及原理简介

    0下载:
  2. 逆变器(inverter,又称变流器、反流器,或称反用换流器、电压转换器)是一个利用高频电桥电路将直流电变换成交流电的电子器件,其目的与整流器相反。可将12V或24V的直流电转换成230V、50Hz交流电或其它类型的交流电。它由逆变桥、控制逻辑和滤波电路组成。(Inverter (also known as converter, inverter, or inverter inverter, voltage converter) is a high-frequency bridge circui
  3. 所属分类:文章/文档

    • 发布日期:2018-04-19
    • 文件大小:52224
    • 提供者:bingochan12138
  1. pwm控制直流电机_verilog_l9110

    0下载:
  2. VERILOG语言 控制的直流电机 在各大数字逻辑软件如VIVADO ise 均可使用 功能强大 简单易学(motor controlled by VERILOG HDL)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:10240
    • 提供者:铭润
  1. controller

    0下载:
  2. Javaweb项目控制层,通过ssm的方式,简化代码,降低业务逻辑关系(Javaweb project control layer simplifies code by SSM and reduces business logic relationship.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:5120
    • 提供者:cc@cc
  1. _模糊PID控制器设计及MATLAB仿真

    1下载:
  2. MATLABPID模糊控制器设计仿真m本文将模糊控制和 PID 控制结合在一起,根据各自的特点构造了一个自适应模糊 PID 控制系统, 并在 MATLAB 中的模糊逻辑工具箱和 SIMULINK 基础上对该控制系统进行了仿真研究。(MATLAB fuzzy controller)
  3. 所属分类:matlab例程

    • 发布日期:2018-05-04
    • 文件大小:557056
    • 提供者:11113434
  1. HTC_270208

    0下载:
  2. 数控机床PLC 控制程序,刀库控制逻辑、转台控制逻辑(PLC control logic of the milling machine.include the tool magzagine and the table.)
  3. 所属分类:其他行业

    • 发布日期:2019-01-30
    • 文件大小:1501184
    • 提供者:学习snap
  1. 仿真电路

    5下载:
  2. 用PSIM搭建的LLC闭环控制系统,适用于初学者,其中变频控制采用数字逻辑搭建(LLC closed-loop model designed by PSIM)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-02-26
    • 文件大小:10240
    • 提供者:欢心123
« 1 2 3 4 5 67 8 9 10 11 ... 33 »
搜珍网 www.dssz.com