CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波发生器

搜索资源列表

  1. niub

    0下载:
  2. 正弦函数表的设计做正弦波发生器的也许能用到-Design of the sine function table can be used to do, perhaps, to the sine wave generator
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:3060
    • 提供者:微臣
  1. c51

    0下载:
  2. 基于51单片机的正弦波发生器代码,可选择三角波与正弦波-51 microcontroller based sine wave generator code, choose triangle wave and sine wave
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:7760
    • 提供者:九帅
  1. Sine-wave-generator

    0下载:
  2. 正弦波发生器 利用直接数值合成DDS原理驱动DAC0832实现正弦波输出-Sine wave generator using direct numerical synthesis of theory-driven DAC0832 achieve DDS sine wave output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:28430
    • 提供者:hezhigang
  1. F02x_DACs_SineCosine

    0下载:
  2. 使用C8051F02x中的DAC实现可调电平幅度的正弦波发生器-The DAC uses C8051F02x level to achieve adjustable amplitude of the sine wave generator
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2781
    • 提供者:颜格强
  1. dds

    0下载:
  2. 基于DDS的正弦波发生器 调制波发生器,能实现实时调幅,并在示波器上显示,还能测出各自的频率-Sine wave generator based on DDS modulation wave generator, to achieve real-time amplitude and displayed on an oscilloscope, but also measured the frequency of them
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:181911
    • 提供者:shimaomao
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. zhengxianbodaimashengchengchengxu

    0下载:
  2. 正弦波发生器生成代码验证,可以产生正弦波的结果代码,在单片机产生正弦波的作用上很多大-Sine wave generator to generate code verification, the results of the code can produce sine, sine wave generated in the microcontroller on the role of many large
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:1006744
    • 提供者:lvlv
  1. Function-generator

    0下载:
  2. 函数发生器,可以产生三角波锯齿波方波和正弦波-Function generator
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:3811
    • 提供者:依拉
  1. LM_324

    0下载:
  2. 该资料是用LM_324制作的正弦波发生器,输出信号幅度可调-This information is LM_324 produced sine wave generator, the output signal amplitude adjustable
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:223400
    • 提供者:刘伟
  1. Da0832

    0下载:
  2. 基于51的DAC0832简单正弦波发生器实验,适合初学者。内置PROTEUS仿真!-Based on a simple sine wave generator 51 of the DAC0832 experiment, suitable for beginners. Built-in PROTEUS simulation!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:41547
    • 提供者:Hero_Y
  1. zhenxianfashengqi

    0下载:
  2. 调用SIN输出四路相位不同正弦波发生器,输出信号幅值是0~A-Four different phases called SIN output sine wave generator, the output signal amplitude is 0 ~ ACC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42163
    • 提供者:张建佳
  1. hanshufashengqi

    0下载:
  2. 基于51单片机的函数发生器,可以产生10~10K的三角波,正弦波,方波三种波形,实现用键控调节频率.-Based on the 51 SCM function generator can generate 10 ~ 10 K triangle wave, sine wave, square wave three waveform, realize with keying regulation frequency.
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:35462
    • 提供者:王力
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. dac

    0下载:
  2. 简易函数发生器,能产生正弦波,三角波,梯形波,方波,并且可调频率和幅度值。-Simple function generator can produce sine, triangle wave, trapezoidal wave, square wave, and the adjustable frequency and amplitude values.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:32404
    • 提供者:刁杰
  1. boxing4

    0下载:
  2. 基于PSOC1的正弦波发生器源代码。可以产生频率不同的正弦波。-The sine wave generator based PSOC1 source code. Can produce different frequencies of sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:288103
    • 提供者:司马大方
  1. sin

    0下载:
  2. 正弦波发生器,产生频率、相位可调的正弦波,并且实现了AM调制,已通过仿真-Sine wave generator to produce the frequency, phase adjustable sine wave, and the realization of the AM modulation, has been through the simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3666255
    • 提供者:王婷
  1. dac0832

    0下载:
  2. dac0832基于51单片机的正弦波发生器的汇编语言程序-dac0832 microcontroller-based sine wave generator
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:798
    • 提供者:李波
  1. dds

    0下载:
  2. 这是个基于dds的正弦波发生器的程序,用vhdl语言编写, 希望对大家有用。 -This is a sine wave generator based on dds program, using vhdl language, want to be useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:694
    • 提供者:杜维轩
  1. ROM-based-sine-wave-generator-design

    0下载:
  2. 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。-ROM-based sine wave generator design, its compilation, simulation. Specific requireme
  3. 所属分类:VHDL-FPGA-Verilog

  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 37 »
搜珍网 www.dssz.com