CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 流水线

搜索资源列表

  1. TransferOverlap

    0下载:
  2. OPENCL 里面一些重叠计算提高并行效率()
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:1373184
    • 提供者:断剑铸
  1. ImageOverlap

    0下载:
  2. 图像重叠计算,流水线提高效率()
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:2111488
    • 提供者:断剑铸
  1. pipeline_adder

    0下载:
  2. 用于快速计算32位加法,共分5级锁存器,4个8位加法器(pipeline_adder it helps you to add 32 bits swiftly if you need more information,may call me by the website account,it's really helpful)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:79872
    • 提供者:Joker13213
  1. add_2p

    0下载:
  2. 用于FPGA的加法器实现程序,采用Verilog语言编写,使用了两级流水线方法(Adder implementation program for FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:1024
    • 提供者:cxtisme
  1. add_3p

    0下载:
  2. 用于FPGA的加法器实现程序,采用Verilog语言编写,使用三级流水线方法(Adder implementation program for FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:1024
    • 提供者:cxtisme
  1. arduino_pixy-0.1.3

    0下载:
  2. 用arduino控制CMUcam5 pixy-0.1.3,其工程可用于武器系统的开发,工业中生产流水线的自动跟踪上货系统。(The CMUcam5 pixy-0.1.3 is controlled by Arduino, and its engineering can be used for the development of weapon system, and the automatic tracking and loading system of production line in i
  3. 所属分类:其他

    • 发布日期:2018-01-05
    • 文件大小:8192
    • 提供者:asdasdwq
  1. highperformance

    0下载:
  2. 最大公约数(GCD)stein算法实现,高性能流水线实现(The greatest common divisor (GCD) stein algorithm, high performance pipeline implementation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:BetaGo
  1. My_CPU_and_Memory_System_Design

    0下载:
  2. 实现内存到Cache的直接映射模拟,利用串行执行方式实现五级流水线。(The direct mapping simulation of memory to Cache is realized, and five level pipelining is realized by serial execution.)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:226304
    • 提供者:feifei12138
  1. cpu2

    1下载:
  2. 这是在vivado平台上编写的多功能流水线cpu的实现,是我们课程实验的大作业(This is the implementation of the multi-functional pipelined CPU written on the vivado platform. It's a big job for our course experiment.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:16069632
    • 提供者:剑姬2
  1. p5_clean

    0下载:
  2. 支持20条指令的五级流水cpu,北航计算机组成p5实验(A five level pipelining CPU that supports 20 instructions)
  3. 所属分类:Windows编程

  1. 列表对比其主流芯片的性能

    1下载:
  2. 列表对比其主流芯片的性能(主要性能指标:速度、封装、流水线结构、多处理单元、特殊运算单元、协处理器、指令周期、RAM、Flash、EEPROM、 AD、SPI、外设等,尽量完善描述),价格、应用范围(The performance of the list compared to its mainstream chips (the main performance indicators: speed, encapsulation, pipeline structure, processing un
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:21504
    • 提供者:丫0028
  1. 北航MIPS多周期

    1下载:
  2. 多周期流水线处理器的verilog实现。(The Verilog implementation of a multi cycle pipelined processor.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:14572544
    • 提供者:jetyeah
  1. S6

    0下载:
  2. 完整流水线追溯系统,包括配件号,打包、报表到处到excel表格中(DevComponents.DotNetBar.Design)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:5039104
    • 提供者:cwhzcp
  1. 产品检测

    0下载:
  2. 传感器0.01用于检验产品好坏,当0.01为“ON”时,表示产品是好的,否则为坏的。速度检测器0.00测量流水线的速度,每得到个脉冲,代表产品向前移动一段距离d。速度检测器与传感器0.01的共同作用,可以决定那个产品好坏。若是坏的产品, 100.00为“OFF”,并控制推杆将坏的产品推到滑道上去,同时传感器0.02会检测到这个坏的产品已经到了滑道上,并使推杆退回。(plc item product testing)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:lalalam
  1. Final_final_test

    1下载:
  2. 五级流水CPU设计 流水线是数字系统中一种提高系统稳定性和工作速度的方法,广泛应用于高档CPU的架构中。根据MIPS处理器的特点,将整体的处理过程分为取指令(IF)、指令译码(ID)、执行(EX)、存储器访问(MEM)和寄存器会写(WB)五级,对应多周期的五个处理阶段。一个指令的执行需要5个时钟周期,每个时钟周期的上升沿来临时,此指令所代表的一系列数据和控制信息将转移到下一级处理。(Five level flow CPU design)
  3. 所属分类:其他

  1. ehvk

    0下载:
  2. WinDLX的实验,除了代码优化的部分全部完成,包括流水线的分析()
  3. 所属分类:数据结构

    • 发布日期:2018-05-01
    • 文件大小:67584
    • 提供者:GMqkaqo!145
  1. FIR

    0下载:
  2. 采用加法树设计8位乘法器,具有流水线结构7阶FIR滤波器,输入序列信号字长4位表示,并且是无符号数。(An adder tree is used to design the 8 bit multiplier, which has a pipelined 7 order FIR filter. The input sequence signal is 4 bits, and it is an unsigned number.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1024
    • 提供者:mofeizq
  1. D_cache

    0下载:
  2. 数据缓存的模块设计,连接流水线mem模块。(The module of data cache is designed to connect the pipeline MEM module.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:2048
    • 提供者:zbw
  1. STM8从入门到精通V1.0

    2下载:
  2. STM8 单片机是意法半导体于 2009 年推出的一系列基于先进的 STM8 内核的 8 位单片机,它具有 3 级流水线架构、性价比高、开发方便。根据应用场合的不同,STM8 单片机主要分为 3 个系列:STM8S、 STM8L、STM8A。学习学习学习,入门学习stm8(Introduction to learning stm8)
  3. 所属分类:其他

    • 发布日期:2019-08-08
    • 文件大小:4460544
    • 提供者:8小丸子8
  1. matlab_gatt

    2下载:
  2. matlab甘特图生产车间调度问题流水线问题并行机调度问题等(MATLAB for gatt)
  3. 所属分类:matlab例程

    • 发布日期:2020-12-04
    • 文件大小:1024
    • 提供者:lee1203
« 1 2 ... 25 26 27 28 29 3031 »
搜珍网 www.dssz.com