CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. Array_mul8

    0下载:
  2. 4位输入,8为输出列阵乘法器,列阵乘法器比之普通的移位乘法器具有更高的速度和更强的并行能力,且进一步升级十分方便。-4 input, 8 for the output array multiplier, array multiplier with higher speeds and greater parallelism than the ordinary shift multiplier, and further escalation is very convenient.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:781277
    • 提供者:李莫
  1. Add_sub_struc

    0下载:
  2. 8位加减器,八位减法器与加法器,用过一个控制端可以自由变换,采用移位加法方式,用途广泛,利用减法位补码加法的理论实现。-8 addition and subtraction, eight subtractor and adder, used a control terminal can freely change the using Shift addition, a wide range of uses, the use of subtraction complement addition t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:540994
    • 提供者:李莫
  1. BasicGraphics

    0下载:
  2. VC++中实现opengl基本图形的绘制,包括图形的自动旋转,移位等-Vc++ opengl graphics rendering realize basic, including graphic automatically rotating, shift, etc
  3. 所属分类:OpenGL program

    • 发布日期:2017-05-10
    • 文件大小:2184663
    • 提供者:开心
  1. ConversePlus

    0下载:
  2. 用于实现进制转换,二进制到十六进制,主要用于新手理解移位的概念。-To hex conversion, binary to hex, mainly for the novice to understand the concept of shift.
  3. 所属分类:Java Develop

    • 发布日期:2017-04-11
    • 文件大小:941
    • 提供者:李明
  1. msequence

    0下载:
  2. 利用线性反馈移位寄存器产生周期为2^n-1,任意长度的m序列-The linear feedback shift register cycle is 2 ^ n-1, any length m-sequences
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:1995
    • 提供者:南宫月
  1. Classical-cryptography

    0下载:
  2. 古典密码学替代算法的C++实验的源代码。比如移位密码和替代密码-Classical cryptography alternative source of the algorithm C++ experiment. Such as the shift cipher and substitution cipher
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:207619
    • 提供者:潘佳亮
  1. an-8-bit-left-shift-register

    1下载:
  2. 使用VHDL语言设计一个8 位左移移位寄存器。并给出了仿真波形。-Using VHDL to design an 8-bit left shift register. And simulation waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:2048
    • 提供者:clementkv
  1. yiwei

    0下载:
  2. proteus仿真,移位点阵,8*8led发光二极管,并加keil文件。用了不后悔-proteus simulation, the shift lattice, 8* 8led light-emitting diode, and add the keil file. Do not regret it used
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:58297
    • 提供者:何金武
  1. 574HC595-Appl9

    0下载:
  2. 595——8位数码管循环移位显示.doc│ 利用74HC595实现多位LED显示的新方法.doc│ 用74HC595芯片驱动LED的电路设计.pdf│ 文件目录表绘制.cmd│ 文件夹目录.txt││ 文件名目录.txt│ ├─点阵设计│ 74HC595PW.pdf│ 正文点阵设计.doc│ ├─Use595_4(Alexi)│ Use595_2.c│ Use595_4.hex│ Use595_4 -595- 8 bit digital tube display cyclic shift.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:770686
    • 提供者:
  1. software

    0下载:
  2. PIC16F917编写的c语言程序,通过编译,内容包含有ad变换,pwm输出,数码显示(通过串口移位显示),串行口通讯等-PIC16F917 written in c language program by the compiler contains ad transform, the pwm output, digital display (via the serial shift display), serial port communication
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:4988
    • 提供者:lida
  1. 74HC595-chip-to-drive-the-LED

    0下载:
  2. 用移位寄存器74HC595芯片驱动LED的电路设计-Shift register 74HC595 chip to drive the LED circuit design
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:153371
    • 提供者:黄清
  1. LCDxianshi

    0下载:
  2. 本设计主要是接收由键盘Data线发送过来的11位串行数据。用的是11位移位寄存器的基本原理。实现将由键盘发送过来的键盘扫描码转换成ASCII码,并在LCD1602液晶上显示出来。 -This design is to receive 11 serial data sent from the Keyboard Data line. Is the basic principle of the 11-bit shift register. Will be over the keyboard to se
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:11700
    • 提供者:xiao yuu
  1. Dchufaqi

    0下载:
  2. D触发器,移位寄存器,二进制转化器的verilog语言程序-D flip-flops, shift registers, binary converter verilog language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:51025
    • 提供者:陈晗卿
  1. e927a8cc7333

    0下载:
  2. 四种加解密源代码,移位密码,维吉尼亚密码,仿射密码以及置换密码-Four encryption and decryption source code, shift cipher, Virginia, password, the affine password and replacement password
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-04
    • 文件大小:1526
    • 提供者:zhaoxuehua
  1. decoder

    0下载:
  2. 自己写的移位密码破解器 又名凯撒密码破解器-Shift the password cracker Caesar password cracker
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-30
    • 文件大小:1098
    • 提供者:chill
  1. experiment

    0下载:
  2. 西门子的流水灯 实测ok 重在方法 移位指令实现的-Siemens water lights measured ok focuses on the shift instruction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:312540
    • 提供者:胡锦涛
  1. 8weicpu

    0下载:
  2. VHDL语言设计的8位简单的CPU,可以实现包括加法,减法,移位操作,赋值,自加等十多种基本的操作-8 of the VHDL language simple CPU, you can achieve more than 10 kinds of basic operations including addition, subtraction, shift operations, assignment, since Canada
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1119166
    • 提供者:wz
  1. ex10_cof_M4K_test2

    0下载:
  2. 基于FPGA开发环境下配置M4K产生一个4*4*8bit的移位寄存-Produce a 4* 4* 8bit shift register-based FPGA development environment to configure the M4K
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3839666
    • 提供者:李民
  1. cryptography-algorithm

    0下载:
  2. 这是几个密码学的基本算法,包含了仿射密码,移位密码,替换密码,维吉尼亚秘密。-This is a cryptography algorithm includes an affine cipher, shift cipher, substitution cipher, Virginia secrets.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1581243
    • 提供者:
  1. The-state-machine-sequence-detector

    0下载:
  2. 状态机实现序列检测器。设计一个一个左移移位寄存器,用硬件设备上的两个拔码开关,预置一个8位二进制数作为待检测码,随着时钟逐步输入序列检测器,8个脉冲后检测器输出结果。-The state machine sequence detector. Design a left shift register, two on the hardware DIP switch and preset an 8-bit binary number as to be detected code, as the clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1468127
    • 提供者:clementkv
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com