CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 移位

搜索资源列表

  1. 3104007

    0下载:
  2. 计算机组成原理的实验报告,包括 实验四 基本模型机设计与实现,实验一 运算器实验 (一) 算术逻辑运算器 实验一 运算器实验 (二) 进位控制实验 实验一 运算器实验 (三) 移位运算器实验 实验二 半导体存储器原理实验实验三 微程序控制器实验 包括自己的心得体会总结. -computer composition principle of the report, including the four basic model of experimental a
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:255332
    • 提供者:叶家良
  1. uart766

    0下载:
  2. ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no) >= “0001” and std_logic_vector(length_no) <= “1001” then -----数据帧数据由接收串行数据端移位入接收移位寄存器---rsr(0) <= rxda --- rsr(7 downto 1) <= rsr(6 down
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:157261
    • 提供者:766
  1. SPI 总线协议

    0下载:
  2. SPI 是一个环形总线结构,由ss(cs)、sck、sdi、sdo 构成,其时序其实很简单,主要是在sck 的控制下,两个双 向移位寄存器进行数据交换。 假设下面的8 位寄存器装的是待发送的数据10101010,上升沿发送、下降沿接收、高位先发送。 那么第一个上升沿来的时候数据将会是sdo=1;寄存器=0101010x。下降沿到来的时候,sdi 上的电平将所存到 寄存器中去,那么这时寄存器=0101010sdi,这样在8 个时钟脉冲以后,两个寄存器的内容互相交换一次。这样就完 成里一
  3. 所属分类:技术管理

    • 发布日期:2014-01-16
    • 文件大小:99613
    • 提供者:fangcj
  1. CPU

    0下载:
  2. 用VHDL编写的简单的CPU程序,可以实现加法,移位以及跳转等等功能
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:18472
    • 提供者:匡木
  1. IO74LS164

    0下载:
  2. I0口驱动74LS164,8位同步移位寄存器,将data_buf的数据逐位输出到simuseri_DATA
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:894
    • 提供者:藏医生
  1. 电子拔河

    0下载:
  2. 电子拔河游戏的实现, 二极管,移位寄存器和计数器的实现-electronic game of tug-of-war to achieve, diodes, shift register and counter the realization
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:21940
    • 提供者:jojo
  1. 8086指令

    0下载:
  2. 算术运算指令、逻辑运算与移位指令、字符串处理指令
  3. 所属分类:文档资料

  1. 74ls595.rar

    0下载:
  2. 单片机与74LS595(8位输出锁存移位寄存器)的使用方法 74595的数据端: QA--QH: 八位并行输出端,可以直接控制数码管的8个段。 QH : 级联输出端。我将它接下一个595的SI端。 SI: 串行数据输入端 ......... ,SCM and 74LS595 (8-bit output latch shift register) 74595 to use the data side: QA- QH: 8 parallel output can directly co
  3. 所属分类:Project Manage

    • 发布日期:2017-03-25
    • 文件大小:5112
    • 提供者:eric
  1. LXY28161-EN

    0下载:
  2. 零星雨16位恒流移位寄存器手册,零星雨16位恒流移位寄存器手册-Constant current shift registers Manual 16 sporadic rain, sporadic rain 16 constant current shift register
  3. 所属分类:File Formats

    • 发布日期:2017-11-14
    • 文件大小:716182
    • 提供者:余虎
  1. VHDL1

    0下载:
  2. 移位寄存器和9人表决器电路的VHDL设计方案-Shift register people to vote and 9 of VHDL circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:1357
    • 提供者:asd
  1. VB

    1下载:
  2. 从太平洋上下载下来的打包学习VB的教程。 》VB专区 ·Visual Basic 的数据库编程 ·使用VB建立Web Server   ·VB与Windows资源管理器互拷文件 ·VB查找文件的两种方法 ·利用VB设计打印复杂报表 ·在VB中播放AVI文件 ·在VB中引用.dbf及索引文件  ·VB5.0中实现字体闪烁效果 ·在VB应用软件中实现动画效果 ·VB编程中如何锁定鼠标 ·用VB实现关闭所有数据库对象 ·VB调用
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:386036
    • 提供者:look
  1. chengfa

    0下载:
  2. 用VerilogHDL的16*16乘法器的设计实现,采用的是移位相乘方法-VerilogHDL with 16* 16 multiplier design using the method of displacement multiplied
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:1288
    • 提供者:马旭霞
  1. Adaptive_blind_watermark_algorithm

    1下载:
  2. 一种嵌入可读水印的自适应盲水印算法,  本文提出了一种基于DWT的嵌入可读水印的自适应盲水印算法,通过分析图像经离散小波变换后细 节子带系数的特性,把细节子带系数的均值和方差作为水印信息的一部分来自适应地修改图像小波分解后某些细节 子带的系数值,在满足水印不可感知性的条件下自适应地嵌入水印信息,实现了水印不可感知性和鲁棒性之间的折 衷. 同时,水印的提取无须求助于原图像,很好的实现了水印的盲检测. 这里的水印是一幅有实际意义的二值图像. 实 验结果和攻击测试表明,本文提出的算
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:138806
    • 提供者:wang
  1. FPGA

    1下载:
  2. 基于FPGA数字乘法器的设计:数字乘法嚣是目前数字信号处理中运用最广泛的执行部件之一,本文设计了三种基于FPGA 的数字乘法器.分别是移位相加乘法嚣、加法器树乘法器和移位相加一加法嚣树混合乘法器。通过对三种方案的仿真综合以厦速度和面积的比较指出了混合乘法器是其中最佳的设计方案-FPGA-based digital multiplier design: the number of multiplicative noise is the use of digital signal processin
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:147296
    • 提供者:南才北往
  1. spartan6_fpga_blockram_user_guide

    0下载:
  2. Spartan6 FPGA中的块存储器使用指南,可以构建为FIFO,ROM,RAM,移位寄存器等。-Spartan6 FPGA block memory in the User Guide, you can build for FIFO, ROM, RAM, shift registers and so on.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:376923
    • 提供者:james
  1. tongREG

    0下载:
  2. 桶型移位寄存器,用于寄存器的移位,用vhdl编写 -Barrel shift register for shift register, with write vhdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:606
    • 提供者:窦龙
  1. chuanxing

    0下载:
  2. 串行通信MCS-51单片机内部有一个全双工的串行通信口,即串行接收和发送缓冲器(SBUF),这两个在物理上独立的接收发送器,既可以接收数据也可以发送数据。但接收缓冲器只能读出不能写入,而发送缓冲器则只能写入不能读出,它们的地址为99H。这个通信口既可以用于网络通信,亦可实现串行异步通信,还可以构成同步移位寄存器使用。-MCS-51 microcontroller serial communication within the a full-duplex serial communication
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:16437
    • 提供者:王杰杰
  1. Text1

    0下载:
  2. 单片机 双机 通信 89S51串口通信是指一个位,连续发8位,寄存器移位一样移8位-it is very good
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:2078
    • 提供者:李欣
  1. SPI-

    0下载:
  2. 模拟spi驱动flash SO:串行数据输出脚,在一个读操作的过程中,数据从SO脚移位输出。在时钟的下降沿时数据改变。 SI: 串行数据输入脚,所有的操作码、字节地址和数据从SI脚写入,在时钟的上升沿时数据被锁定。 SCK:串行时钟,控制总线上数据输入和输出的时序。 /CS :芯片使能信号,当其为高电平时,芯片不被选择,SO脚为高阻态,除非一个内部的写操作正在进行,否则芯片处于待机模式 当引脚为低电平时,芯片处于活动模式,在上电后,在任何操作之前需要CS引脚的一个从高
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:21384
    • 提供者:小文
  1. 线性卷积编码的线形移位寄存器poly2trellis的解释

    1下载:
  2. 线性的卷积编码,基本的信道编码,可以由线性移位寄存器(即线性多项式)构成。 输出网格trellis表示由移位寄存器组成的网格装的卷积编码器,通过移位寄存器多项式生成,所以在Matlab中的函数叫做poly2trellis,也就是多项式poly-to-网格trellis,用来描述寄存器的结构方式。生成的trellis可以作为线形卷积编码函数convenc和或者其解码(如Viterbi解码函数vitdec)的输入。
  3. 所属分类:编程文档

    • 发布日期:2017-02-19
    • 文件大小:36864
    • 提供者:vvvivian
« 12 3 »
搜珍网 www.dssz.com