CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. smgszsjdj

    0下载:
  2. 实现数码管倒计时顺计时功能 可通过移位数字顺计倒计按键 -Digital tube countdown the cis timing function the cis-meter countdown keys by shifting digital
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:4144
    • 提供者:王振丰
  1. 2.8-led

    0下载:
  2. led动态显示,从左自由逐位移位,基于at89c51-led dynamic display
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-03
    • 文件大小:27574
    • 提供者:janwa
  1. the_design_basedonfpga

    0下载:
  2. 1. clkdiv 介绍时钟分频器的建模 2. counter 介绍计数的建模 3. dtrig 介绍D触发器的建模 4. jktrig 介绍JK触发器的建模 5. shiftreg 介绍移位寄存器的建模 6. ttrig 介绍T触发器的建模-The 1. Clkdiv modeling clock divider 2. Counter introduced count modeling the The 3. Dtrig 4. Jktrig introduce the mod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-01
    • 文件大小:576140
    • 提供者:丁俊辉
  1. BM

    1下载:
  2. 移位寄存器中的BM算法,学习这门课的同学可以参考一下-BM algorithm in the shift register, learning this course students can reference
  3. 所属分类:CSharp

    • 发布日期:2017-11-04
    • 文件大小:1024
    • 提供者:小凤
  1. 3d4c8def245a

    0下载:
  2. 算法流程 1.将要处理的二进制串进行IP置换 2.将密钥进行PC1置换(64位转为56位), 3.进行16轮操作,对于第i轮操作: 1)将上一次得到的PC1密钥(级除去第一次外,其他的都经过移位处理)的结果左右部分(各28位)分别循环左移1位或2位,然后将移位后的密钥进行PC2[i]置换. 2)将处理串均等分为左右两串Li,Ri(各32位),然后将右串Ri通过E盒拓展为新的右串R (48位),R 与上一步得到的PC2[i]子密钥进行异或
  3. 所属分类:source in ebook

    • 发布日期:2017-11-23
    • 文件大小:2510
    • 提供者:温维山
  1. main

    0下载:
  2. 用函数重载编程,用以实现循环左移、循环右移的位运算(bit),移位位数在 1-30000范围内任意-The the function overloading programming to achieve Rotate Left and Rotate Right bitwise (bit), shift the median in 1-30000 within any
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:892
    • 提供者:王祎
  1. shift_register

    0下载:
  2. VHDL 移位寄存器 左右平移都可以 里面已有详细说明-shifter register using VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-11-28
    • 文件大小:673
    • 提供者:mango
  1. code

    0下载:
  2. 5级流水CPU,可实现除法,逻辑移位,算术移位等高级功能-Five water CPU to perform division, logical shift, arithmetic shift and other advanced features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:11276
    • 提供者:Victor
  1. Zernike不变矩的图像检索

    2下载:
  2. MATLAB代码,Zernike矩是一种具有尺度、移位和旋转不变性的正交不变矩,本设计的目的就是利用Zernike不变矩设计一种图像检索系统,该系统能够充分验证Zerinike矩的不变性及其在图像检索中的优良性能。
  3. 所属分类:matlab例程

  1. DES

    0下载:
  2. 算法流程 1.将要处理的二进制串进行IP置换 2.将密钥进行PC1置换(64位转为56位), 3.进行16轮操作,对于第i轮操作: 1)将上一次得到的PC1密钥(级除去第一次外,其他的都经过移位处理)的结果左右部分(各28位)分别循环左移1位或2位,然后将移位后的密钥进行PC2[i]置换. 2)将处理串均等分为左右两串Li,Ri(各32位),然后将右串Ri通过E盒拓展为新的右串R (48位),R 与上一步得到的PC2[i]子密钥进行异或操作,
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-07
    • 文件大小:2457
    • 提供者:zhusiyi
  1. hw1

    0下载:
  2. 最简单的加密算法,实现密码学中移位密码的加密解密算法。-Simple encryption algorithm, cryptography shift password encryption and decryption
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-11
    • 文件大小:1182
    • 提供者:李晓虹
  1. 8x8

    0下载:
  2. 74HC595为8位输出锁存移位寄存器 -74 hc595 for eight output latched shift register
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-11
    • 文件大小:993
    • 提供者:王勇
  1. 164

    0下载:
  2. 74HC164、74HCT164 是高速硅门 CMOS 器件,与低功耗肖特基型 TTL (LSTTL) 器件的引脚兼容。74HC164、74HCT164 是 8 位边沿触发式移位寄存器-74HC164, 74HCT164 is a high-speed silicon gate CMOS devices, the devices are pin compatible with low power Schottky TTL (LSTTL). 74HC164, 74HCT164 are 8-bit
  3. 所属分类:ELanguage

    • 发布日期:2017-11-22
    • 文件大小:130317
    • 提供者:李明哲
  1. data1

    0下载:
  2. 数组元素移位运算,算法简单代码量少 容易理解看懂-Array elements shift operation, the algorithm simple code less easy to understand to understand
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:1109085
    • 提供者:胡家俊
  1. cshiyan2012

    2下载:
  2. 基于EDA软件平台上,用硬件描述语言verilog设计完成分频器、计数器、串行移位输出器、伪码发生器、QPSK I/Q调制器、QPSK I/Q解调器,基于选项法中频调制器,再将各个模块综合起来组成一个完整系统;并用quartusII软件对其进行仿真验证。-EDA software platform based on the hardware descr iption language verilog design complete shift of the frequency divider,
  3. 所属分类:STL

    • 发布日期:2014-01-27
    • 文件大小:1906064
    • 提供者:赵旋
  1. encryption

    0下载:
  2. 设计一个周期5 的16-置换移位密码并予以实现,要求:5 个16-置换至少有一个是由实验一(n=15)提供的两个方法以外、自行设计的其它方法产生。-Designing a cycle 5 16- displace the shift password and to achieve the requirements: 5 16- is at least one of the replacement from outside the experiment (n = 15) provides two
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-22
    • 文件大小:1041
    • 提供者:nurala
  1. yiwei

    0下载:
  2. 移位寄存器的小程序,程序不长,自己写的。如果有不完善的地方,望批评指正。-Shift register of small program, and the program is not long, wrote. If there is no perfect place, hope criticism and corrections.
  3. 所属分类:Data structs

    • 发布日期:2017-11-17
    • 文件大小:246735
    • 提供者:邓扬
  1. shift

    0下载:
  2. VHDL写的移位寄存器,可以应付老师的检查,能下载到板子上跑-Shift register can be written in VHDL the teacher checks payable can be downloaded to the board ran
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:2191
    • 提供者:胡恒
  1. FinalCPU

    0下载:
  2. 用VHDL语言编写的简单CPU程序,实现了加减乘除和移位功能。-a simple CPU program writen by VHDL language , it realizes the add, subtract, multiply ,divide and shift function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:482340
    • 提供者:myw
  1. creater

    0下载:
  2. 在掌握伪随机序列性质的基础上,设计给定长度的伪随机序列信号发生器,也即设计给定n后(n为移位寄存器的级数)最长线性反馈移位寄存器序列。并在给定n产生的最长序列的基础上,截短出课题给出的序列长度,并用FM软件对可编程器件GAL16V8进 行编程,以实现长度不同的序列信号发生器。-Grasp on the basis of the nature of a pseudo-random sequence, the design given the length of the pseudo-random
  3. 所属分类:Other windows programs

    • 发布日期:
    • 文件大小:6329
    • 提供者:LYY
« 1 2 ... 44 45 46 47 48 4950 »
搜珍网 www.dssz.com