CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. shouhuoji

    0下载:
  2. 自动售货机,基于c++语言编写的自动售货机,可以实现自动售货。-Vending machines, based c++ language vending machines, vending can be achieved.
  3. 所属分类:MPI

    • 发布日期:2017-04-13
    • 文件大小:1717
    • 提供者:朱丹
  1. assembly-language

    0下载:
  2. 基于汇编,51单片机的自动售货机设计,三种商品,三种价格,包含proteus仿真。-Based on the compilation, 51 vending machine design, three commodities, three price includes proteus simulation.
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:70472
    • 提供者:李凯
  1. Beverage-vending-machines

    0下载:
  2. 饮料贩卖机,用C++语言编写的应用小程序,可以模拟自动售货机的相关功能-Beverage vending machines, applications written using C++ small program that can simulate a vending machine-related functions
  3. 所属分类:Home Personal application

    • 发布日期:2017-04-04
    • 文件大小:932424
    • 提供者:蓝凌
  1. Vending-Machine

    0下载:
  2. 基于java J2se开发的自动售货机程序,自己编写了图形界面。内存使用上还有待改进,对于学习基础的java编程知识很有帮助。-Developed based on java J2se vending machine program, I have written a graphical interface. Memory usage could be improved, for learning basic knowledge of java programming helpful.
  3. 所属分类:Java Develop

    • 发布日期:2017-04-03
    • 文件大小:98989
    • 提供者:Sanmisan
  1. ZIDONGSHOUHUOJI

    0下载:
  2. QUARTUS平台下,VHDL编写的自动售货机源代码。基于ALTERA MAX系列FPGA开发板。绝对原创。-QUARTUS platform, VHDL source code written in vending machines. Based ALTERA MAX Series FPGA development board. Absolutely original.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:157994
    • 提供者:石同享
  1. shop

    0下载:
  2. 自动售货机,支持5种商品的预设数量,价格,可以选择购买商品及其数量,可以输入0.5,1.5三种金额。支持找零。-Vending machines, supports five kinds of commodities preset quantity, price, and quantity of goods you can choose to buy, you can enter the amount of three 0.5,1.5. Support homing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2588
    • 提供者:康帆
  1. MDB_Version_4-2

    0下载:
  2. 自动售货机MDB接口协议最新文档,MDB_Version_4-2.pdf-Vending machine mdb interface,the latest protocol MDB_Version_4-2.pdf
  3. 所属分类:Communication

    • 发布日期:2016-04-21
    • 文件大小:1199104
    • 提供者:zhaoyj
  1. drink_mashine

    0下载:
  2. 用verilog语言实现自动售货机功能,其中使用了状态机来实现。-Vending machine using verilog language function, which uses a state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:158322
    • 提供者:宋凤林
  1. automatic-seller

    0下载:
  2. 松下PLC自动售货机程序,该程序主要基于松下PLC,能完成自动找零等功能-PLC programme of automatic seller
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:990
    • 提供者:刘伟
  1. vending-machine

    0下载:
  2. 用Verilog实现自动售货机功能,代码较初级。易懂,内含test文件。-Automatic vending machines function with Verilog code than the primary. Understandable, containing test files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1428
    • 提供者:liangzai
  1. auto-seller

    0下载:
  2. 用visual C++制作的超市自动售货机模拟版。-Produced by visual C++ supermarket vending machine simulation version.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-15
    • 文件大小:6795
    • 提供者:dsmask
  1. qishi

    0下载:
  2. 自动售货机系统,包括商品价格,输入价格,找零等-Vending machine systems, including commodity prices, input prices, the change etc.
  3. 所属分类:JavaScript

    • 发布日期:2017-04-10
    • 文件大小:800
    • 提供者:nike
  1. zidong-shouhuoji

    0下载:
  2. 用VERILOG实现自动售货机功能,运行正确,希望有帮助-Use VERILOG implementation vending machine function, correct operation, hope to have help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:44609
    • 提供者:jenny
  1. vhdl

    0下载:
  2. 利用VHDL编写的简易自动售货机。本次设计的自动售货机只销售cola与pepsi两种饮料,售价均为1.5元。顾客可以由两个不同投币孔分别投入5角硬币或1元硬币。一次交易只能购买一瓶,且自动找零。只要按下取消键就会马上无条件退币。-Using a simple vending machine in VHDL. The design of the vending machines selling cola and pepsi only two drinks, both at 1.5 yuan. Cu
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:60332
    • 提供者:
  1. VHDLSHOUHUOJI

    0下载:
  2. 北邮利用VHDL编写的简易自动售货机的实验报告。能够完成自动投币,购买,报警等功能,并且有报警灯。-BUPT lab report summary prepared using VHDL vending machine. Automatic coin to complete the purchase, alarm functions, and alarm lights.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:201568
    • 提供者:
  1. VHDL

    0下载:
  2. FPGA实现自动售货机,自动售货机系统VHDL程序及仿真-FPGA implementation vending machines, vending machine system procedures and VHDL simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:144228
    • 提供者:sun fujin
  1. PLC--yuan

    0下载:
  2. 自动售货机是无需销售人员,可根据消费者投入钱币计算价格自动贩卖商品的智能机器。-The vending machines are intelligent machines that can calculate the prices of goods according to the consumers’ inputs and vend goods without a sales staff.
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:585199
    • 提供者:敏敏
  1. autosale

    0下载:
  2. 自动售货机源程序 ,投币 退币,不同情况分别处理-Vending machine source, coin
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:1026
    • 提供者:madud00008
  1. stand---200ms

    0下载:
  2. 自己的STM32代码,工程项目实用。主要用在自动售货机和柜员机中。内有端口详细说明。-My own stm32 code for smart atm.
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:652327
    • 提供者:黄正阳
  1. salesmachine

    0下载:
  2. 用VHDL编写的模拟自动售货机,有钱数处理、找零、显示、退币等功能。可直接使用FPGA硬件进行仿真调试。-Using VHDL simulation vending machines, coin, select merchandise, give change, refund and other functions. FPGA hardware can be used directly for simulation debugging.
  3. 所属分类:Button control

    • 发布日期:2017-05-06
    • 文件大小:1147957
    • 提供者:RichardsChen
« 1 2 ... 11 12 13 14 15 1617 18 19 20 »
搜珍网 www.dssz.com