CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 自动售货机

搜索资源列表

  1. ddddQUA

    1下载:
  2. 这部分阐述了自动售货机的各种动作功能和控制要求,给出了完整的自动售货机操作规程,并介绍了自动售货机运行系统种所包括的人工操作步骤
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:383595
    • 提供者:陆志平
  1. DrinkSellMachine

    0下载:
  2. 饮料自动售货机,采用状态设计模式模拟饮料自动售货机
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:48070
    • 提供者:JELL
  1. 自动售货机VHDL设计

    0下载:
  2. 所属分类:其它文档

    • 发布日期:2008-12-21
    • 文件大小:144783
    • 提供者:z05437213
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 自动售货机设计

    1下载:
  2. 所属分类:电子商务

    • 发布日期:2011-03-18
    • 文件大小:1052672
    • 提供者:ailianzhe
  1. Vending

    0下载:
  2. 自动售货机:该项目采用c++ .net 编写,主窗体form1 表示售货机的整个外观,它包含3个货柜(用3个picturebox 演示),货柜下方显示商品的单价,当点击窗体的非控件点时,会自动显示各个商品的价格
  3. 所属分类:Software Testing

    • 发布日期:2017-04-08
    • 文件大小:326486
    • 提供者:李江涛
  1. zishj

    0下载:
  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-Design a vending machine control program, which each time slot to be injected into the 1 yuan, 2 yuan, 5 yuan, and provides input 1 yuan or 2 yuan may not re-en
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:44603
    • 提供者:asd
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:559273
    • 提供者:baby
  1. ICCAVR

    0下载:
  2. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:161806
    • 提供者:hill
  1. sale

    0下载:
  2. 关于自动售货机的报告,内有源程序和DC综合网表图-Report on the vending machine with a DC source and an integrated network chart
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:559999
    • 提供者:小阳
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:143390
    • 提供者:fufeifei
  1. vhdl

    1下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:61643
    • 提供者:WX
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quantity. 2 . vendor promise input 1
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:61664
    • 提供者:myth
  1. chengxusheji

    0下载:
  2. 自动售货机的C编程 可以实现自动找零 实现无人监守-zidong shouhuoji de C biancheng
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:2539
    • 提供者:弗兰克斯
  1. autoseller

    0下载:
  2. 自动售货机的对象模型动态模型以及功能模型-Vending machine' s object model, dynamic model and functional model of
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:87161
    • 提供者:韩笑
  1. EDA07

    0下载:
  2. 能识别5c10c25c三种硬币,可以实现退币找零等功能VHDL编程自动售货机-5c10c25c can identify three kinds of coins and currency can be achieved through irregular features such as back VHDL programming vending machine
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:4520
    • 提供者:vina
  1. vendingmachinvhdl

    0下载:
  2. 自动售货机的程序代码和仿真文件,挺有用的-Vending machine program code and simulation files, winter ridge used
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:184164
    • 提供者:李明
  1. Vendingmachine

    0下载:
  2. 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:90806
    • 提供者:Section
  1. autoDrinkMachine

    0下载:
  2. MFC可视化界面,实现饮料自动售货机功能、利用C++编写。-MFC visual interface, to achieve functional beverage vending machines, using C++ to write.
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:51519
    • 提供者:JH
  1. ourdev_574256

    0下载:
  2. 自动售货机在modelsim下的仿真与实现,用vhdl编写-Vending machines under the modelsim simulation and implementation using vhdl write
  3. 所属分类:software engineering

    • 发布日期:2017-06-02
    • 文件大小:14439128
    • 提供者:白拉菲
« 12 »
搜珍网 www.dssz.com