CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16 cpu VHDL

搜索资源列表

  1. CPU

    0下载:
  2. 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1489655
    • 提供者:kilva
  1. 8-cpu

    0下载:
  2. 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2883
    • 提供者:FJ
  1. CPU设计

    0下载:
  2. 用VHDL设计的一个16为CPU,内有开发文档以及源代码
  3. 所属分类:VHDL编程

  1. ALU

    1下载:
  2. vhdl代码 使用quartus编译 cpu中 alu的设计 可作为课程设计的参考 此为16的运算器-VHDL code using Quartus compiler cpu in alu design of curriculum design can be used as a reference for this for 16 computing device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1081
    • 提供者:闵瑞鑫
  1. cpu2

    0下载:
  2. 另一个简单的16位VHDL的CPU程序~~~包含简单的加减乘除移位等操作,适用于课程设计-Another simple VHDL' s CPU 16-bit program ~ ~ ~ contains simple calculation shift and other operations for course design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1862685
    • 提供者:liuying
  1. cpu16

    0下载:
  2. 实现一个16位CPU。该CPU使用精减指令集,是一个五段流水线的结构。包括取指令(IF)、读寄存器(RD)、运算器(ALU)、内存读写(MEM)和写回(WB)。-The realization of a 16-bit CPU. Streamline the use of the CPU instruction set is a structure of five lines. Including fetch (IF), register read (RD), arithmetic logic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:6205
    • 提供者:周健
  1. pipeline

    0下载:
  2. 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:3029212
    • 提供者:kevin
  1. CPU16

    0下载:
  2. 自己用VHDL写的16位的CPU,在学校的课程上通过了测试。-Own use VHDL to write a 16-bit CPU, in school curriculum passed the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1183688
    • 提供者:Hui
  1. CPU_16_Beta_1.0

    0下载:
  2. VHDL CPU 16 16位的简易CPU 开发工具为Xilinx-VHDL CPU 16 a simple CPU in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2526426
    • 提供者:sigmax6
  1. ESAM_CARD

    0下载:
  2. CPU卡程序 已经在电表应用 性能良好 适合国网要求的协议-CPU card procedures have been well-suited to the meter application performance requirements of an agreement State Grid
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:6118
    • 提供者:taxiangren80
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. zxcpu

    0下载:
  2. 用VHDL语言设计了一个含10条指令的RISC处理器。假定主存可以在一个始终周期内完成依次读写操作且和CPU同步,系统使用一个主存单元。处理器指令字长16位,包含8个通用寄存器,1个16位的指令寄存器和一个16位的程序记数器。处理器的地址总线宽度16位。数据总线宽度16位,取指和数据访问均在一跳蝻数据总线。处理器支持包含LDA,STA,MOV,MVI,ADD,SUB,AND,OR,JZ,JMP十条指令。其中仅有LDA和STA是访存指令。-VHDL language design with a R
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1075788
    • 提供者:zhaoshu
  1. cpu

    0下载:
  2. 16位的5级流水线cpu 采用vhdl代码 modelsim编译仿真-5-stage pipeline 16-bit cpu compiled simulation using modelsim vhdl code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:4995
    • 提供者:sean
  1. vhdl-cpu-16-bit

    0下载:
  2. VHDL processsor 32 bit ALU SRF BUS DATA ADRESS C16 System On Chip Architecture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:976737
    • 提供者:luis
  1. simple-16-bitvhdl-cpu

    0下载:
  2. central processing unit for processor using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3312
    • 提供者:pradeep
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. TEST-CPU-2

    0下载:
  2. 基于VHDL语言的微指令控制的CPU,16位地址线-VHDL language based on the microinstruction control of the CPU, 16-bit address lines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3225846
    • 提供者:Zhiheng Shen
  1. Micro16-30sep03

    0下载:
  2. Micro16 - 一个简单的 16 位 VHDL CPU 核源代码-Micro16- A Simple 16 bit VHDL CPU source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27288
    • 提供者:阿斯顿
  1. CPU_VHDL

    0下载:
  2. 这是实现一个8位和16位cpu的VHDL代码,虽然支持的指令比较少只有20多条,但对于学习CPU的布线架构很有帮助-This is achieved by an 8-bit and 16-bit cpu VHDL code, although only a relatively small instruction support more than 20, but for learning routing architecture CPU helpful
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-08
    • 文件大小:1965454
    • 提供者:SamJohnKing
  1. lu

    0下载:
  2. 16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-07
    • 文件大小:817152
    • 提供者:nodgd
« 1 23 »
搜珍网 www.dssz.com