CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA counter

搜索资源列表

  1. decimal_divison

    0下载:
  2. 使用双模计数器实现的FPGA小数分频器,语言verilog HDL。-FPGA implementation using dual-mode fractional divider counter, language verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:558116
    • 提供者:冯正
  1. 8-bit-decimal-frequency-meter

    0下载:
  2. 利用FPGA,实现8位十进制频率计功能。高效,实用。-Using FPGA, to achieve 8-bit decimal frequency counter function. Efficient and practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:306796
    • 提供者:云龙
  1. I2C_v

    0下载:
  2. 本工程主要是介绍操作一个I2C总线接口的EEPROM AT24C08的方法,使用户了解I2C总线协议和读写方法。 2、通过JTAG口把I2C_FPGA.sof下载到FPGA后,请先长按reset按键大约1秒左右,以进行初始化。按一下实验板上的KEY1键,计数器加1计算,并把计算结果写入EEPROM,并同时显示在数码管最低位,按KEY0把EEPROM的数据读取出来,并显示在数码管上。-Operation of this project is to introduce an I2C bus inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1190152
    • 提供者:merlin
  1. count

    0下载:
  2. 基于FPGA的可变模的计数器,计数器,加法-FPGA-based variable modulus counter, counter, addition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:445459
    • 提供者:WR
  1. source

    0下载:
  2. FPGA驱动八位数码管,做为16进制计数器。-16 counter,using verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:10302
    • 提供者:THOMAS
  1. anylist-exam

    0下载:
  2. 任意模计数器FPGA程序代码设计,可实现模1000以内的任意模,更改参数可提高范围-Any mold counter FPGA code design, model 1000 can be achieved within any mode, change the parameters can increase the range
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:21411
    • 提供者:邓欢
  1. CPLD

    0下载:
  2. verilog编写的加减6路可逆计数器,用于FPGA对6路脉冲信号的计数-verilog written addition and subtraction 6 way reversible counter for FPGA on the 6-channel pulse count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:961371
    • 提供者:zhangshaobo
  1. ones_counter

    0下载:
  2. Ones counter for Verilog, basic project for Altera FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3036472
    • 提供者:luis
  1. counter2

    0下载:
  2. spartan-3e fpga vhdl 实现的计数器 记满后点亮小灯-spartan-3e fpga vhdl counter to light led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:280749
    • 提供者:朱飞亚
  1. 10jinzhijishuqi

    0下载:
  2. 基于fpga的十进制计数器,开发环境为maxpius-Decimal counter fpga-based development environment for maxpius
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:55649
    • 提供者:cynthia
  1. kt1

    1下载:
  2. 基于FPGA的可控100进制可逆计数器,运行环境maxplus-Controlled 100 hex reversible counter FPGA-based operating environment maxplus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-10
    • 文件大小:263168
    • 提供者:cynthia
  1. stopwatch

    0下载:
  2. 在fpga上实现秒表计数器的设计,主要目的是实现对fpga基本的认识-Stopwatch counter on the fpga design, the main aim is to achieve understanding of the basic fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1239
    • 提供者:houxiaoshuai
  1. jishi999999

    0下载:
  2. 程序实现6位计数器,000000~999999,有一个使能信号en,将使能信号en由FPGA的引脚68接入,使用信号发生器产生方波,en信号为1的时候计数器计数,对于输入方波的幅值调为3.3V,可发现计数器计数一段时间会停止,然后接着计数。-Program six counters, 000000 999999, an enable signal en enable signal en by the FPGA pin 68 access, using the signal generator t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:703644
    • 提供者:张朗
  1. mc8051_design_1p5

    0下载:
  2. mc51单片机与fpga等精度频率计fpga的部分 mc51单片机与fpga等精度频率计fpga的部分 mc51单片机与fpga等精度频率计fpga的部分-mc51 microcontroller and fpga precision frequency meter fpga part mc51 microcontroller and fpga-precision frequency counter fpga' s part mc51 microcontroller and fpga pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4962258
    • 提供者:林月乐
  1. COUNT10

    0下载:
  2. 基于FPGA的一个带有异步复位和同步时钟使能的十进制加法计数器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA with a reduction of asynchronous and synchronous clock can make the decimal additions counter design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:242887
    • 提供者:左云华
  1. test

    0下载:
  2. verilog实现循环计数器,8位的计数器,可使用在各类FPGA平台中-a loop counter designed by verilog
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:1007
    • 提供者:tianjinjin
  1. FPGA-based-frequency-counter

    0下载:
  2. 文章主要介绍了使用VHDL实现数字频率计的功能,其中包含了各部件的VHDL语言描述,仿真和大致硬件框图,对于初学EDA者大有帮助。-The article introduces the VHDL realization of the functionality of the digital frequency meter, which contains the hardware block diagram of the various components of the VHDL languag
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:776981
    • 提供者:金刚
  1. counter_mu_over

    0下载:
  2. 通过fpga实现多功能计数器,从而进行测频,测相位差,占空比-Fpga realization of multifunction counter, frequency measurement, the measured phase, duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3847628
    • 提供者:wang dong
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. 40fpga

    0下载:
  2. 40个FPGA开发的简单实例,让初学者很好的入门。里面都有详细的程序设计思想说明。-You can use the verilog to realize a counter.
  3. 所属分类:MPI

    • 发布日期:2017-11-28
    • 文件大小:46529
    • 提供者:liu
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com