CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ISE Verilog

搜索资源列表

  1. SPI3_8bit

    0下载:
  2. 一整套通用的用Verilog代码实现的SPI3接口(8bit接口)协议代码,包含ISE工程文件,本代码在Xilinx公司的FPGA上实现,并且有Modelsim仿真的源文件-SPI3 verilog code(including ISE project and modelsim code)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2829236
    • 提供者:yaofan
  1. chuan2

    0下载:
  2. 用verilog HDL编写的并串转换模块,在ISE软件仿真过,也可综合-Prepared using verilog HDL and string conversion module, in the ISE software simulation, and can also be integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:798801
    • 提供者:李晶
  1. digitalclock

    0下载:
  2. Verilog数字时钟 实现24小时的监控,用七段码显示出来,包含时序图等 在ISE下仿真-digital clock Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:370677
    • 提供者:唐新明
  1. mac控制器

    0下载:
  2. mac控制器ip核,语言verilog,开发环境xilinx ise,quartus ii等
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-02
    • 文件大小:936521
    • 提供者:hjlyh@126.com
  1. led_keyboard

    0下载:
  2. 用verilog语言实现键盘的移动扫描,这是基于ise实现的。 -Verilog language keyboard with a mobile scanner, which is based on the ise to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:588
    • 提供者:启帆
  1. Framer

    3下载:
  2. ISE平台下的verilog的QC-LDPC编码,经仿真没有问题-ISE platform verilog QC-LDPC coding, no problems by simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1118563
    • 提供者:kwiicsyy
  1. all

    0下载:
  2. 基于FPGA的频率测试器的verilog HDL代码,测试范围1-10MHz,用XILINX公司的ISE软件打开。-Based on FPGA-frequency test the Verilog HDL code, test range 1-10MHz, with XILINX ISE software to open.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2466845
    • 提供者:elink
  1. I2C_Master

    0下载:
  2. I2C总线verilog程序,已经过ISE调试成功-I2C bus verilog procedures, debugging success
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:2032
    • 提供者:wangdekui
  1. serialcomuniactionsource_files

    0下载:
  2. 用于FPGA与232通信的编程设计,用VERILOG语言编写的,在ISE中仿真-232 communications for FPGA programming and design, using the VERILOG language in ISE Simulation
  3. 所属分类:Communication

    • 发布日期:2017-04-07
    • 文件大小:7085
    • 提供者:mengzi
  1. state

    0下载:
  2. 米勒解码器的状态转换模块。用verilog语言编写,ISE为开发环境-Miller decoder module of the state transition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:274894
    • 提供者:kinki
  1. mult_8b_for

    0下载:
  2. 本实验使用Verilog语言 通过FOR循环完成8bit乘法器功能,通过ISE仿真测试,可实现综合-Verilog language used in this experiment through the FOR cycle completed 8bit multiplier function, through the ISE simulation tests can be integrated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:206021
    • 提供者:jennycomeon
  1. VerilogProject

    0下载:
  2. 开发环境为Xilinx的Ise,都是一些经典的Verilog工程实例,对初学者有一定借鉴意义!-verilog project under ise environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2471394
    • 提供者:刘伟
  1. CLK_5

    0下载:
  2. verilog实现时钟的奇数分频,通过ISE仿真。-verilog to achieve the odd clock frequency, by ISE simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:189260
    • 提供者:ll
  1. Average

    0下载:
  2. 利用ISE软件编写的求平均数的verilog程序,可以用来求平均数,用来对信号幅度的平均值进行计算-ISE software written request using the average of the verilog program can be used to seek the average used to calculate the average amplitude of the signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:193743
    • 提供者:蜡笔
  1. cordic

    1下载:
  2. altera cordic ip core, 包含文档,完整设计,以及测试向量-altera coedic ip core, including the document, whole design, and the testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:896436
    • 提供者:panzhijian
  1. clock_generator

    0下载:
  2. 基于FPGA的时间转换器的一个ISE工程,使用verilog语音-Converter based on FPGA time a ISE project, use the verilog voice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:163752
    • 提供者:leo
  1. wumayi

    0下载:
  2. chipscope pro的工作原理、chipscope pro在实现高速误码测试时与其他各部分的接口关系、Verilog语言和ISE软件、chipscope pro在实现误码测试时的工作流程和调试办法-chipscope pro works, chipscope pro high-speed BER testing in other parts of the interface relations, Verilog language and ISE software, chipscope pr
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:245526
    • 提供者:程松
  1. lcd1602

    0下载:
  2. LCD1602的verilog代码,编译综合通过,用ISE打开,自己配置管脚后就烧录了,代码有详细注释,很好的学校资料-LCD1602 the verilog code, compilation and synthesis by using ISE to open their own after the burning of the pin configuration, the code has detailed notes, a good school information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:618310
    • 提供者:张小琛
  1. key

    0下载:
  2. 用verilog写的按键消抖程序,经过验证的·····环境是ISE-Written with key debounce verilog program, proven environment is ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:343026
    • 提供者:汉江之源
  1. adder

    0下载:
  2. 用verilog语言描述的二级加法器,通过在ise环境下编译成功。-With the verilog language to describe the two adders, compiled by ise environment successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:324422
    • 提供者:刘一平
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 16 »
搜珍网 www.dssz.com