CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LEAp

搜索资源列表

  1. leap-1.2.6.tar.gz

    0下载:
  2. 一个小型的关系数据库系统,适合学习数据库的学生学习
  3. 所属分类:数据库编程

    • 发布日期:
    • 文件大小:245640
    • 提供者:
  1. jj132565

    0下载:
  2. 这是一个判kjfdsa断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份,fds 可输出是本年是否为fds闰年的提示信息。-kjfdsa-off for a year if the leap year, the running executable Ifleap.exe after importation of specific year, output is 32 this year to 32 leap year if the message.
  3. 所属分类:PropertySheet

    • 发布日期:2008-10-13
    • 文件大小:1829
    • 提供者:几军
  1. ick

    0下载:
  2. 前 言 2000年上半年,Inprise公司(原Borland公司)推出了C++Builder的最新版本:C++Builder 5。C++Builder 5继承了以前版本的优点,并在此基础上增添了许多新的功能,比如... 图书类别:C++ Builder 图书格式:PDF 授权方式:免费版 C++ Builder核心编程技术 2005-1-12 640 ·C++Builder核心编程技术 图书类别:C++ Builder 图书格式:WDL 授权方式:免费版 跨越C++BUILDER3.0 20
  3. 所属分类:按钮控件

    • 发布日期:2008-10-13
    • 文件大小:571881
    • 提供者:夏天
  1. 案例01判断闰年程序

    0下载:
  2. 判断闰年程序 汇编程序,这个算法不错,很有参考价值-Judge the program assembler of leap year, this algorithm is good , has reference value very much
  3. 所属分类:中文信息处理

    • 发布日期:2008-10-13
    • 文件大小:1727
    • 提供者:林健枫
  1. 判断润年

    0下载:
  2. 这是一个判断闰年的小程序,只要你随意输入一个年份,程序就会自动帮你算出是不是闰年,并显示结果给你看!-This is a small leap year judgment procedures, as long as you can input a year, procedures will automatically help you calculate it is not a leap year, and the result will be displayed for you!
  3. 所属分类:对话框与窗口

    • 发布日期:2008-10-13
    • 文件大小:138346
    • 提供者:
  1. 所得税计算和闰年判断

    0下载:
  2. 这是一个计算个人所得税和判断年份是否是闰年的简单程序,添加了输入的判断,以及输入出错的提示!适合广大 DELPHI 初学者!-This is a calculation of personal income tax and judgment year is a leap year if the simple procedure, add the importation of judgment and input errors tips! For the majority of Delphi be
  3. 所属分类:组合框控件

    • 发布日期:2008-10-13
    • 文件大小:176760
    • 提供者:刘义洲
  1. 计算润年及月分天数

    0下载:
  2. 这是一个使用C#.NET开发的计算润年和一月天数的例子-This is a use of C#.NET development and the calculation of leap year days in January the few examples
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:9263
    • 提供者:风云
  1. 闰年LeapYear

    1下载:
  2. 计算闰年,输入一个年份,判断是否是一个闰年,是一JAVA程序。 在eclipse里面运行。-calculated leap year, the importation of a year to determine whether it is a leap year, is a JAVA program. The eclipse inside running.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1628
    • 提供者:易杜平
  1. runlian

    0下载:
  2. 一个用汇编程序编写出来的计算是否闰年的小程序-a compilation of procedures used to prepare the leap year in terms of the small programs
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1282
    • 提供者:陈大民
  1. newsxp

    0下载:
  2. 飓风信息管理系统是目前国内最好的网站信息管理系统之一,并曾获得“创新飞跃杯软件设计大赛”1等奖,它最大的优点便是能够在较低的配置下(asp+mdb+虚拟目录)取得接近于大型商业网站的速度与功能,适合于各种类型的商业用户使用。-hurricane information management system is the best internal site information management systems in the world and has access to "inn
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:293646
    • 提供者:李昱
  1. [En]Web Servies Essentials

    0下载:
  2. 介绍web service的书,可以让你有质的飞跃-introduced web service, the book allows you to have a qualitative leap
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:1616160
    • 提供者:zuizui12
  1. 2DIFS_code_32

    0下载:
  2. 研究现代科学的绝好东西,由复杂的分形理论跨越到实践-study modern science wonderful things, the complexity of fractal theory to practice leap
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:28668
    • 提供者:刘胜国
  1. LeapYearApplet

    0下载:
  2. 计算所需年份是否是闰年的程序,简单适合初学者-calculation of the required year is a leap year if the procedure simple for beginners
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:3128
    • 提供者:李某
  1. TimeOfSecond

    0下载:
  2. 把一个年、月、日、时、分、秒的时间转换为一个基于1970年1月1日0时0分0秒的秒数;或者把一个基于1970年1月1日0时0分0秒的秒数转换为一个年、月、日、时、分、秒的时间。本源码考虑了闰年因素的影响。在嵌入式开发中,当没有RTC时,这种转换非常有用,可以比较容易地维持一个系统时间。-put a year, month, day and hour, minute and second time for a change based on at 0:00 a.m. on January 1, 1
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7620
    • 提供者:小罗
  1. GetNextDay

    0下载:
  2. 获取今天的明天的日子代码,简单实用,主要是判断年月日是不是年末月末或者闰年什么的-access to the code tomorrow's day, simple and practical, date of judgment is not the end or the end of what the leap year
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:9289
    • 提供者:亲自杨
  1. jisuanriqishidijitian

    0下载:
  2. 计算是这年的的第几天(判断闰年)能判断月份是否输入错误,如果是闰年还能计算2月份的日期输入是否过界!算法还算不错!-calculation is that the days of (determining a leap year) in the ability to determine whether an input error, If it is a leap year in February can calculate the date and whether community inpu
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:8290
    • 提供者:宇宙的弦
  1. Judgment-leap-year-by-Verilog

    0下载:
  2. Verilog的闰年实现,已经在数码管显示中正确实现-Verilog realize the leap year, has been correctly implemented in the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:161949
    • 提供者:xiao heshang
  1. leap-csdn

    0下载:
  2. 先连接Leap Motion和MSP430G2553单片机 单片机COM口设置为COM1,因为Leap上位机程序设置为COM1 编译运行后直接进入手势识别状态 可识别四种手势-剪刀石头布竖起大拇指-Connect Leap Motion and MSP430G2553 MCU MCU COM port set to COM1, because Leap PC program is set to run compiled directly into COM1 gesture re
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-07
    • 文件大小:1495501
    • 提供者:李天宇
  1. thdays-leap-end

    0下载:
  2. 获取今天的明天的日子代码,主要是判断年月日是不是年末月末或者闰年什么的(For today's tomorrow's date code, mainly judgment (date) (month) (year) is at the end of the month or a leap year)
  3. 所属分类:文件操作

    • 发布日期:2017-12-24
    • 文件大小:9216
    • 提供者:paedffp
  1. leap软件

    3下载:
  2. LEAP包含两部分:LEAP_EnclosureShop(箱体仿真设计)和LEAP_CrossoverShop(分频器仿真设计)。其中 LEAP_EnclosureShop 是本人比较推崇的音箱箱体仿真设计软件, LEAP_CrossoverShop 由于其操作的复杂性,使用并不广泛(没有FINE X-Over好用)。 LEAP是美国Linearx公司开发的音箱设计系统,借助现代计算机科技的发展,分频网络的设计工作可以在计算机上完成,音箱设计仿真软件测量完的喇叭数据传送到音箱设计仿真软件做箱体
  3. 所属分类:其它程序

« 1 2 34 5 6 7 8 9 10 ... 32 »
搜珍网 www.dssz.com