CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - MSK MATLAB

搜索资源列表

  1. msk

    2下载:
  2. 导师给的关于信道化msk通信系统仿真这个题目所需要的全部matlab程序.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:2460170
    • 提供者:陈吉祥
  1. sasdw.rar

    0下载:
  2. 现有数字信号自动调制识别方法大多只适用于无记忆信号,如PSK、ASK、FSK信号等。将有记忆 信号(MSK信号)和无记忆信号一起考虑,提出了一种改进的数字信号自动识别方法。该方法采用信号的瞬时统 计量作为特征参数,采用多层神经网络作为分类器。计算机仿真表明:当噪声采用高斯白噪声,并且信噪比大于 l5 dB时,识别率高于96% ;当信噪比不低于l0 dB时,识别率不低于90%。,Existing digital signal automatic modulation recognition
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:185378
    • 提供者:happyuan
  1. msk

    0下载:
  2. msk调制解调程序,利用matlab进行仿真,通信类设计可用-msk modulation and demodulation process simulation using matlab, Communications design available
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-31
    • 文件大小:1187
    • 提供者:王涛
  1. 7941930MSK

    0下载:
  2. 用MATLAB编写与仿真了MSK信号,其中调制解调都有,很有用-Written and simulated using MATLAB MSK signal modulation and demodulation of which are very useful
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:4421
    • 提供者:龙兰飞
  1. MSK

    0下载:
  2. A simulation in simulink that implements a MSK modulation with a matlab scr ipt to run the app.
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:8578
    • 提供者:koitoer
  1. MSK

    1下载:
  2. 在MATLAB上实现的MSK调制与解调程序。-Implemented on the MATLAB MSK modulation and demodulation process.
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:1806
    • 提供者:seven
  1. 61549814MSK

    0下载:
  2. MSK的MATLAB调制,全过程,很不错,适合毕设的同学-The MATLAB MSK modulation, the whole process, very good for students who complete set
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:205325
    • 提供者:zxh
  1. msk-matlab-simulation

    0下载:
  2. 本文是一个word文档格式matlab程序,主要讲的是通信系统中最小频移键控的研究(即MSK)文档里面带有仿真的图形和matlab程序,希望对大家有所帮助!-This article is a word document format matlab program, is primarily concerned with the communication system minimum frequency shift keying (MSK) document inside with simul
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:241734
    • 提供者:王明
  1. MATLAB-code-demonstrating-digital-MSK-signal-gene

    0下载:
  2. MATLAB code demonstrating digital MSK-MATLAB code demonstrating digital MSK
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:10069
    • 提供者:muaid adil
  1. MSK调制解调代码

    1下载:
  2. MSK(Minimum Frequency Shift Keying)是二进制连续相位FSK的一种特殊形式。MSK称为最小频移键控,所谓“最小”是指这种调制方式能以最小的调制指数(0.5)获得正交信号,本程序给出了MSK信号的调制解调过程,并有详细注释。
  3. 所属分类:matlab例程

  1. MSK-matlab

    0下载:
  2. 这个程序是基于FSK调制的MSK调制仿真代码。编程语言为matlab,有图形生成-This MSK modulation simulation code procedure is based on the FSK modulation. Programming language is for MATLAB. Graphics generation
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:1743
    • 提供者:wjr
  1. MSK demode

    1下载:
  2. 基于一种优化的MSK信号差分数字解调算法的matlab解调仿真(Simulation of MATLAB demodulation based on an optimized differential demodulation algorithm for MSK signals)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:`爱遇宝宝
  1. IQ-MSK

    0下载:
  2. This project is simulation of the MSK modulation in the MATLAB. this project has one file for Modulating some random number and one file for demodulating of the signal. just run the first code and after that run the second code. this code also has
  3. 所属分类:通讯编程

    • 发布日期:2017-12-26
    • 文件大小:115712
    • 提供者:Masoudm969
  1. 基于MATLAB的MSK调制解调实现

    2下载:
  2. 利用MATLAB集成环境下的M文件,编写程序来实现MSK的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。通过这次课程设计进一步加深了我对最小二进制相移键控(MSK)调制解调性能的理解与掌握,同时将课堂上学到的理论知识用于实践。增强了我在模拟仿真方面的能力,为以后系统开发和通信系统仿真打下了良好的基础。(The use of MATLAB in the integrated environment of
  3. 所属分类:通讯编程

    • 发布日期:2018-01-02
    • 文件大小:595968
    • 提供者:史宾
  1. Matlab图像处理

    0下载:
  2. 图像处理中,msk的设计,可实现变密度采样(Variable density sampling)
  3. 所属分类:图形图象

    • 发布日期:2018-01-05
    • 文件大小:957440
    • 提供者:huahua123
  1. MSK调制解调器

    0下载:
  2. MSK调制解调器MATLAB仿真程序,毕设用的,可会知误码率、时域图、频域图(MSK modulation and demodeulation simulate code by MATLAB)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-07
    • 文件大小:3072
    • 提供者:王越超
  1. MSKmodulator_demodulator

    0下载:
  2. Matlab msk modulator and demodulator
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:3072
    • 提供者:gh3ka1
  1. MskMod

    0下载:
  2. MSK调制及解调的matlab程序,实测可用(MSK modulation of MATLAB program, measured available)
  3. 所属分类:matlab例程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:坏小伙
  1. mod

    0下载:
  2. 实现MSK信号的调制功能,亲测可用。包括相位叠加法和基础调制算法(The modulation function of the MSK signal is realized, and the relative measurement is available. Phase superposition method and basic modulation algorithm)
  3. 所属分类:通讯编程

    • 发布日期:2019-10-13
    • 文件大小:2048
    • 提供者:染玥
  1. MSK信号数字调制解调

    0下载:
  2. 内含3种MSK调制程序,详细说明请参见网址:https://blog.csdn.net/i_no_one/article/details/90451131 还包含MSK解调说明。包含程序的使用说明,均为MATLAB程序。
  3. 所属分类:matlab例程

    • 发布日期:2019-10-10
    • 文件大小:9546
    • 提供者:inoone
« 1 23 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com