CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA

搜索资源列表

  1. VGA_test50m

    0下载:
  2. 利用VHDL实现CPLD(EPM240T100C5)的VGA屏幕输出
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:230268
    • 提供者:ZXQ
  1. hazi24

    0下载:
  2. 显示24*24点阵汉字的小程序,VGA模式下,带24点阵汉字库
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2129
    • 提供者:Stan
  1. VGA_STUDY--OK

    0下载:
  2. VGA 测试程序,可显示彩色条纹,用vhdl语言编写,经过测试,运行稳定,带有注释!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:326845
    • 提供者:于来宝
  1. VGAcontroler_for_Sopc_Builder

    0下载:
  2. altera公司的sopc builder VGA 控制器设计
  3. 所属分类:图片显示浏览

    • 发布日期:2008-10-13
    • 文件大小:94934
    • 提供者:Morgan
  1. VGA_code

    0下载:
  2. VGA字符显示VHDL程序 可以直接用于工程的设计与开发
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:173856
    • 提供者:毋杰
  1. quartus

    0下载:
  2. 是一些quartusII下的IP核,自主开发的。包括有vga,ram等
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:4127518
    • 提供者:liuhongjie
  1. boot270

    1下载:
  2. 这个包是优龙pxa270开发板带vga输出的那种板子带的boot loader,可以启动wince, 全部源码,用ads1.2编译
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:742175
    • 提供者:liliaoyuan
  1. 1225VGA

    0下载:
  2. VGA显示的程序,用VHDL编写,可用在单片机或者FPGA的VGA接口
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2053
    • 提供者:cgc
  1. TW103-8_0.33_VGA

    1下载:
  2. 宏芯 t103程序 能解码VGA CVBS S_VIDEO的视频解码,程序的开发环境是stc89c58rd+ 在keil c下已经编译通过
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1698463
    • 提供者:tom
  1. DVI-d

    0下载:
  2. 详细讲解了DVI接口的不同类型,包括和VGA的定义
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:145602
    • 提供者:滕波
  1. VGA_TV

    0下载:
  2. 一个模拟视频输入转VGA视频输出的Verilog程序
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:27181
    • 提供者:李华
  1. googthing123

    0下载:
  2. FPGA做VGA通讯的详细资料,我找了很久才收集起的,很有用,可供初学者学习实用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2165
    • 提供者:cgc
  1. VGA256

    0下载:
  2. c语言VGA图形模式256色显示程序,彩色图像编程的基础程序
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:1205
    • 提供者:廖于翔
  1. vga_porgramming

    0下载:
  2. dos 下的vga编程(纯英文版) 带注解源代码和实例程序
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:523512
    • 提供者:noall
  1. CVGA256.ZIP

    0下载:
  2. BGI Driver for Orchid Designer VGA, 256 Color
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:10084
    • 提供者:荆腾
  1. SmallCore_VGA_PS2_1c6

    0下载:
  2. 周立功公司的SOPC源代码,可以使用FPGA芯片驱动VGA显示器,很不错的
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:641003
    • 提供者:李锦龙
  1. vga_vhdl

    0下载:
  2. 针对FPGA一个实现vga显示的很好的例程,vhdl语言编写。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12606
    • 提供者:王明
  1. s8_vga

    0下载:
  2. 用FPGA实现的VGA接口程序,采用的语言是VHDL硬件描述语言,大家可以参照下看看采用的器件是Altera EP2c35
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:438136
    • 提供者:蔡白银1
  1. fm_LCD

    0下载:
  2. 这是一个基于S3C2440 的VGA 驱动程序, 其中fc.c 是驱动程序,fc_test.c 是测试代码. 这是一个在LINUX frame buffer基础上利用 DMA 实现的高效驱动, 绝对原创!
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:250613
    • 提供者:XuYang
  1. DE2_with_VGA_LCM

    0下载:
  2. altera de2 开发板 vga lcd控制quatus 工程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2924382
    • 提供者:李志
« 1 2 ... 44 45 46 47 48 4950 »
搜珍网 www.dssz.com