CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - VGA

搜索资源列表

  1. vga

    0下载:
  2. vga接口,摄像头,数码相框,都用到哦,快下载吧
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:2983238
    • 提供者:linchan
  1. uclinux vga driver

    0下载:
  2. uclinux下用于驱动vga的程序
  3. 所属分类:文档资料

    • 发布日期:2010-05-17
    • 文件大小:29184
    • 提供者:qtworry
  1. 基于FPGA的VGA图像控制器的设计与实现

    1下载:
  2. 基于FPGA的VGA图像控制器
  3. 所属分类:报告论文

    • 发布日期:2010-10-21
    • 文件大小:289707
    • 提供者:dengcheng12
  1. VGA显示原理与VGA时序实现

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2010-12-14
    • 文件大小:145408
    • 提供者:hyj_48@163.com
  1. DVI接口和VGA接口有什么作用和区别

    0下载:
  2. DVI接口和VGA接口有什么作用和区别
  3. 所属分类:编程文档

    • 发布日期:2012-03-12
    • 文件大小:247296
    • 提供者:chitru
  1. fpga_docu.rar

    0下载:
  2. CPLD/FPGA 入门文档。国内某知名fpga开发商编写的基础教程,共18篇。从使用fpga如何点亮led灯到VGA到8051内核使用方法。如果您是打算学习cpld/fpga,建议先阅读这些文章再选择采购开发板。,CPLD/FPGA entry documents. FPGA developers a well-known domestic basis for the preparation of curricula, a total of 18. From how to use the FP
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5508930
    • 提供者:gao
  1. SOPCVGAIP3090114

    0下载:
  2. 基于 SOPC 的 VGA IP 核设计-Based on SOPC the VGA IP core design
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:460568
    • 提供者:mxl
  1. VBE_3.0

    0下载:
  2. VESA BIOS Extensions 3.0 Specification an extrapolation of Video BIOS (<=VGA) to SVGA-VESA BIOS Extensions 3.0 Specification an extrapolation of Video BIOS (<=VGA) to SVGA
  3. 所属分类:Development Research

    • 发布日期:2017-03-30
    • 文件大小:223265
    • 提供者:Yeonhong
  1. vga

    0下载:
  2. VGA驱动及显示程序,用Verilog编写代码实现VGA的驱动和显示,并且提供了测试程序Testbench通过测试能得到正确的时序波形。-the source code for driving VGA and displaying the images,the testbench was offered.
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:104771
    • 提供者:chenguohao
  1. TVbox_242_V1.0_schematic

    0下载:
  2. CVBS TO VGA 原理图.是个完整的方案,其余的程序,说明文档也会陆续发上来.-CVBS TO VGA schematic. Is a complete program, the rest of the procedures, documentation will all be made up.
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:120418
    • 提供者:lichuang
  1. SOPCVGAIP

    0下载:
  2. 基于sopc的vga ip核设计参考文档-Based on SOPC vga ip-core design of the reference documentation
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1293727
    • 提供者:mxl
  1. FPGAVGA20901114

    0下载:
  2. 基于FPGA的VGA图形控制器的实现方法-Based on the VGA graphics controller FPGA Implementation
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:641844
    • 提供者:mxl
  1. VGA

    0下载:
  2. Send and image for the VGA port
  3. 所属分类:Project Manage

    • 发布日期:2017-04-29
    • 文件大小:398870
    • 提供者:oscard
  1. VGA_controller

    0下载:
  2. vga controller-vga controller
  3. 所属分类:Project Manage

    • 发布日期:2017-04-09
    • 文件大小:2733037
    • 提供者:walid
  1. IT_ArberNgjela_StefanoMagnoni_2008_TesiSlide

    0下载:
  2. VGA over xilinx fpga
  3. 所属分类:Project Design

    • 发布日期:2017-05-12
    • 文件大小:2913691
    • 提供者:magno86
  1. EFL50-LS2766P-VGA-DDR2-R10-20051222

    0下载:
  2. Compal Mini (EFL50) ATI VGA/B M52-P
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:254447
    • 提供者:johncomp
  1. VGA

    0下载:
  2. VGA presnt of my friend in verilog-VGA presnt of my friend in verilog.....
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1963222
    • 提供者:huỳ nh an
  1. vga-ise

    0下载:
  2. 用quartus实现vga的一个显示程序,具体功能为显示一串字母ise-Quartus achieved with a vga display program, the specific function to display a bunch of letters ise
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:3327
    • 提供者:cheng
  1. VGA全驱动

    0下载:
  2. 里面有关于FPGA设计的VGA的相应实验说明,以及相关代码
  3. 所属分类:编程文档

  1. 硕盟SM-H2V1HDMI转VGA产品说明书

    0下载:
  2. 硕盟SM-H2V1 HDMI转VGA高清视频转换器,内置智能转换芯片,1080p高清画质显示,材质采用ABS环保料做成的机身。材质耐磨,防腐,质感更好,不易粘指纹、散热快,手感也舒适而且更容易将内部产生热量导到产品表面,加快散热。
  3. 所属分类:文档资料

    • 发布日期:2021-08-31
    • 文件大小:4256931
    • 提供者:sm11000
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com