CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHD

搜索资源列表

  1. clock_time

    0下载:
  2. 本文件解压后clock_time.vhd采用编程环境maxplusII,完成时间秒定时、记时,设置时间秒、声光报警等功能。-this document unpacked clock_time.vhd maxplusII use programming environment, the time for completion seconds timing, Hutchison, the set-up time seconds, sound, light, alarm functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1609
    • 提供者:阿兰
  1. [eda]vhdl

    2下载:
  2. 福州大学EDA选修课所有实验课程代码。VHDL语言描述(vhd),以及电路图(gdf)-Fuzhou University EDA optional courses in all experimental code. VHDL descr iption (vhd), and circuit (GdF)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:222534
    • 提供者:林锋杰
  1. 2Dfft

    0下载:
  2. VHDL 关于2DFFT设计程序 u scinode1 ∼ scinode9.vhd: Every SCI node RTL vhdl code. The details can be seen in the following section. u 2dfft.vhd: The top module includes these scinodes and form a 3x3 SCI Torus network, and it support these sub-mo
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:783292
    • 提供者:李成
  1. yibutongxin

    0下载:
  2. 本程序是用VHDL语言实现异步通信控制器, hao1.vhd为主程序,hao1.scf为仿真波形-this procedure is used VHDL asynchronous communication controller, mainly hao1.vhd procedures, hao1.scf for simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:704285
    • 提供者:陈华
  1. vhd

    0下载:
  2. 基于maxplusII的EDA设计,自动绕线机的设计源程序。-maxplusII Based on the EDA design, automatic winding machine design source.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:157223
    • 提供者:weini
  1. zldjkzjq

    0下载:
  2. max+plusII下编成的直流电机控制器vhd-under monument of the DC motor controller vhd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2669
    • 提供者:李清
  1. jcq

    0下载:
  2. max+plusII下的各种功能的计数器vhd-under the various functions of the counter vhd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1135
    • 提供者:李清
  1. fenpin

    0下载:
  2. 本程序是用VHDL语言,非整数分频的一个实现, fenpin.vhd为主程序-this procedure is used VHDL, non-integer frequency of a realization of the main procedures fenpin.vhd
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3406
    • 提供者:陈云松
  1. DaFilter

    0下载:
  2. /* This program generates the DApkg.vhd file that is used to define * the DA filter core and gives its parameters and the contents of the * Distributed Arithmetic Look-up-table \"DALUT\" according to the DA algorithm-/ * This program generate
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15595
    • 提供者:陈朋
  1. fpu_v18

    0下载:
  2. <Floating Point Unit Core> fpupack.vhd pre_norm_addsub.vhd addsub_28.vhd post_norm_addsub.vhd pre_norm_mul.vhd mul_24.vhd vcom serial_mul.vhd post_norm_mul.vhd pre_norm_div.vhd serial_div.vhd post_norm_div.vhd pre_norm_s
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:477666
    • 提供者:陈朋
  1. VHDamples

    0下载:
  2. VHD yes!about vhdl slay-Volume yes! About vhdl slay
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:20358
    • 提供者:haha
  1. arith_lib_standard

    0下载:
  2. 这是很全的标准库啊,不是1164.vhd,都是一些加,乘,除,平方等操作的包来的.-This is the standard for the whole ah, not 1164.vhd are some increases, multiplication, addition, operational square packages to come.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:82280
    • 提供者:喻袁洲
  1. clock_top2

    0下载:
  2. 数字钟的vhd文档,个人感觉还是蛮完善的,大家可以下载了一同改进。-figures minute vhd files, individuals still feel pretty good, we can improve downloaded together.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2326
    • 提供者:john
  1. clk_div2n

    0下载:
  2. 这是用VHDL 语言编写的参数可以直接设置的2n倍时钟分频器,在运用时,不需要阅读VHDL源代码,只需要把clk_div2n.vhd加入当前工程便可以直接调用clk_div2n.bsf。-This is the VHDL language parameters can be directly installed 2n times the clock dividers, when exercising not reading VHDL source code, clk_div2n.vhd simp
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1588
    • 提供者:谢光华
  1. jhvhjhk

    0下载:
  2. 乒乓球游戏机实验报告实验人: 大火虎设计课题: 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 设计思路: 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。设计过程: 1) 对4MHZ信号进行分频,得到所需的1HZ,及七段显示器所需的频率.存为CLOCKMAKE.VHD(注:仿真时所加的信号频率比这要高。)。 2) 设计一个子程序来描述裁判,左击球手,右击球手的动作对LED显示的影响,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:slw
  1. Bersenham_line

    0下载:
  2. 程序设计与仿真 利用FPGA驱动LCD显示中文字符“年”的VHDL程序。 --文件名:lcd_driver.vhd。 --功能:FGAD驱动LCD显示中文字符“年”。 -program design and simulation using FPGA-driven LCD display Chinese characters "," VHDL program. -- File Name : lcd_driver.vhd. -- Function : FGAD
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2888
    • 提供者:侯亮
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. count16

    0下载:
  2. count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:杨奎元
  1. uart-to-GPIO.vhd

    0下载:
  2. -- Filename ﹕ uart.vhd -- Author ﹕ZRtech -- Descr iption ﹕串口接收与发送程序 -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证-- 程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位-- 8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波-- 特率。程序当前设定的div_
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3028
    • 提供者:hj
  1. ntfsclone2vhd

    0下载:
  2. Utility to convert ntfsclone "special images" to dynamic VHD virtual disks
  3. 所属分类:磁盘编程

    • 发布日期:2018-01-01
    • 文件大小:12288
    • 提供者:Yirkha
« 1 23 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com