CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHD

搜索资源列表

  1. test

    0下载:
  2. Verilog test file not vhd-Verilog test file not vhd
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:101485
    • 提供者:gijo
  1. Rs232sourcecode

    0下载:
  2. Working RS232 controller running at 9600 Hz. Consist of Transmitter and Receiver Module. Tested in FPGA Spartan 3 Included files for testing at FPGA - Scan4digit .vhd - to display at 7 sgement display - D4to7 .vhd - Convert HEX decimal to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4831
    • 提供者:Ikki
  1. usb_blaster

    0下载:
  2. 文件列表(日期:2005080604~2009101613)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2368423
    • 提供者:zhaojun
  1. 8080cpu

    0下载:
  2. this code for cpu 8080 design -this is code for cpu 8080 design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:9533
    • 提供者:minh
  1. dingshi

    0下载:
  2. quarters2编写的定时器.vhd为源程序-prepared quarters2 timer. vhd for source
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:132888
    • 提供者:lc
  1. clk_div.vhd

    0下载:
  2. 实现对时钟信号的技术分频,程序简单易懂,对于初学VHDL者来说,提供了一个良好的方法。-Implementation of the clock signal frequency technology, the program easy to understand, for the beginner who VHDL, provides a good approach.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1516
    • 提供者:王宇坤
  1. dec.vhd

    0下载:
  2. vhdl code for a 16 bit decoder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1749
    • 提供者:siluyuan
  1. FIFO

    0下载:
  2. 512×8bid的FIFO 含工程文件,基于QUARTUs-512 × 8bid the FIFO with the project document, based on the QUARTUsII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3920
    • 提供者:邵捷
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. H.264

    4下载:
  2. H.264标准解码器全部verilog源码,包括帧内、帧间、变换编码、熵编码、滤波等所有模块-Standard H.264 decoder all verilog source, including intra-, inter-frame, transform coding, entropy coding, filtering all modules
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-25
    • 文件大小:827774
    • 提供者:liu
  1. fenpinqi

    0下载:
  2. 此文件为EDA的8位分频器,但可以用于不同位分频器,如:1位到10位等,用Quartus软件来,以文件VHD格式编译即可-This document is for EDA 8-bit prescaler, the prescaler can be used in different places, such as: 1-10 and so on, using Quartus software to VHD format file can be compiled
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:119235
    • 提供者:ni yeye
  1. Xilinx USB JTAG 下載端程式

    1下载:
  2. Xilinx USB JTAG 下載端程式 -The jtag_logic.vhd in this directory describes the logic for a parallel-serial converter to be connected to a FT245BM USB chip from FTDI Inc
  3. 所属分类:USB编程

    • 发布日期:2012-12-29
    • 文件大小:126284
    • 提供者:simon
  1. ad7823.vhd

    0下载:
  2. ad7823的VHDL驱动程序,测试在quartus9.0下编译通过-ad7823 driver of VHDL, the compiler under test through quartus9.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1506
    • 提供者:刘煜
  1. FIFO_design_reference_document

    0下载:
  2. FIFO设计的参考文档 Project name : Fifo -- Project descr iption : Fifo controller Unit 工程名 : FIFO.VHD 用到库文件IEEE.STD_LOGIC_1164-FIFO reference design document Project name : Fifo -- Project descr iption : Fifo controller Unit -
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:1794
    • 提供者:mhb
  1. contador

    0下载:
  2. Contador hexadecimal para UP1 (.vhd)
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:777
    • 提供者:Viper10
  1. 03.EDK8.2

    0下载:
  2. 使用xilinx virtex4芯片,设计环境为EDK,其中包含uart,片外sram操作,flash操作,DDR SDRAM操作,MAC自发自收,audio,video等试验-Xilinx virtex4 use chip design environment for the EDK, which contains the uart, chip sram operation, flash operation, DDR SDRAM operation, MAC spontaneous self-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-15
    • 文件大小:22821756
    • 提供者:肖姗姗
  1. ROM_by_Matlab

    0下载:
  2. Rom.vhd with Matlab and file hex
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4198
    • 提供者:NgocAnh
  1. baheyouxiji

    0下载:
  2. 用vhdl写的拔河游戏机代码,后缀名改为vhd即可-the code of baheyouxiji in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6114
    • 提供者:Henry
  1. 11

    0下载:
  2. 交通灯的描述,主要是用了VHD的语言来编写的-light
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2042
    • 提供者:凌心
  1. arp3

    0下载:
  2. it s an audio project
  3. 所属分类:Audio program

    • 发布日期:2017-04-13
    • 文件大小:2618
    • 提供者:abad
« 1 2 3 4 5 6 78 9 10 11 12 ... 20 »
搜珍网 www.dssz.com