CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL源码

搜索资源列表

  1. i2c

    0下载:
  2. I2C协议verilog源码,包含完整的测试代码及设计文档。-Verilog source I2C protocol, including the complete test code and design documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:351174
    • 提供者:高浩志
  1. uart

    0下载:
  2. uart源码,一个完整的uart设计,用vhdl实现-uart
  3. 所属分类:Graph program

    • 发布日期:2017-04-08
    • 文件大小:295491
    • 提供者:yuyunxiang
  1. uart_VHDL

    0下载:
  2. uart VHDL源码,可以直接使用-uart vhdl source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:10661
    • 提供者:huhansan
  1. yuyintongxin

    0下载:
  2. 基于CPLD的语音通信系统设计与实现毕业设计 原版包括程序源码,各部分仿真图,框图-CPLD-based voice communications system design and implementation of the design of the original graduate program, including source code, the part of simulation diagram, block diagram
  3. 所属分类:Voice Compress

    • 发布日期:2017-05-15
    • 文件大小:3987983
    • 提供者:李卫东
  1. Transportationcontrollight

    0下载:
  2. 这是一个数字逻辑的课程设计报告,包含源码,实现交通灯系统。-This is a digital logic design report of the curriculum, including the source code to achieve the traffic light system.
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:46372
    • 提供者:limo
  1. LCD_Driver

    0下载:
  2. VHDL源码 控制液晶的 希望对大家有用-VHDl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1715
    • 提供者:zeng
  1. VHDL

    0下载:
  2. 包括用用VHDL语言编写的DDS,FIFO,交通控制灯,数字电压计,信号发生器的源码,希望能帮到大家-Including the use of VHDL language with the DDS, FIFO, traffic control lights, digital voltage, the signal generator of the source, I hope to help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:69994
    • 提供者:link
  1. DDC

    3下载:
  2. 直接数字频率合成dds源码,cos三角函数生成代码,及测试代码,用于ddc前端测试的testbench。-direct digital frequency sysnthesis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:25162
    • 提供者:wq
  1. USB

    0下载:
  2. USB的VHDL实现源码(使用VHDL硬件描述语言,通过Altera QuartusII 开发)-USB to achieve the VHDL source code (using VHDL hardware descr iption language, through the development of Altera QuartusII)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:50205
    • 提供者:刘磊
  1. VHDL

    0下载:
  2. 这是学习VHDL语言很好的电子书,对VHDL语言的编程规则作了很详尽的讲解,源码例子解释也相当详细-This is a very good learning VHDL language e-books, on the rules of VHDL programming language had a very comprehensive presentation, source code is also a fairly detailed explanation of examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1967817
    • 提供者:may
  1. VHDL

    0下载:
  2. VHDL常用的22个子程序源码,这有你们需要的代码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:24787
    • 提供者:lin
  1. TLC5510

    0下载:
  2. 基于FPGA的TLC5510控制器的设计VHDL源码-FPGA-based controller design TLC5510 the VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1143017
    • 提供者:sky
  1. SPI_vrilog

    0下载:
  2. SPI接口源码,语言vrilog,包括MASTER和SLAVE-SPI interf for vrilog.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3312
    • 提供者:linno
  1. VHDL_CXSL

    0下载:
  2. VHDL入门基础学习手册,内含大量VHDL源码可供参考!-Introduction to VHDL-based learning manual, containing a large number of VHDL source code available!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2980738
    • 提供者:齐小兵
  1. USBfpgavhdl

    0下载:
  2. 基于USB的通信程序开发,附源码,在EDA平台上实现 -USB-based communications program development, with source code, in the EDA platform
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-10
    • 文件大小:2104631
    • 提供者:cingerchyy
  1. Uart

    0下载:
  2. Uart总线,VHDL语言,硬件描述语言源码-Uart bus, VHDL language, VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:10294
    • 提供者: 陳皇仁
  1. FPGA_VHDL_sinusoidal_function

    0下载:
  2. 该文件包含基于VHDL的正弦信号发生器的设计源码-This file contains the VHDL-based design of sinusoidal signal generator source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:52535
    • 提供者:fyq
  1. dff

    0下载:
  2. 关于DFF的FPGA实现,有VHDL源码-On the DFF of the FPGA implementation, there are VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:115238
    • 提供者:123
  1. ofdm_cp_insertion_v71

    0下载:
  2. Quartus公司的实例VHDL源码,用于OFDM的循环前缀的插入操作,很具有指导意义。其中, Quartus的版本必须为7.1以上-ofdm_cp_insertion
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:774505
    • 提供者:fancyou
  1. MAIN_TX_V10

    1下载:
  2. 8路视频光端机的VHDL源码,此硬件使用以太网的SERDES 借用TBI接口传输PCM视频信号。-8-channel video PDH in VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:290801
    • 提供者:tr
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 32 »
搜珍网 www.dssz.com