CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL源码

搜索资源列表

  1. F7-2VT-1DR

    3下载:
  2. 2路视频光端机的,VHDL源码,使用全FPGA芯片的硬件,内建成帧、时钟、SERDES-2-way video PDH' s, VHDL source code, use the whole FPGA chip hardware, built-in framing, clock, SERDES
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-15
    • 文件大小:461987
    • 提供者:tr
  1. baudgen_latest.tar

    0下载:
  2. 波特率发生器的VHDL源码。适用于uart、spi、IIC-Baud rate generator VHDL source code. Apply to uart, spi, IIC
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:427486
    • 提供者:pyu
  1. mp3

    0下载:
  2. MP3解码器的VHDL源代码 ,很实用的,设计时可以参考 ,很罕见的完整MP3 decoder源码 -VHDL code for MP3 decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:29126
    • 提供者:融融
  1. LCD

    1下载:
  2. lcd verilog hdl 源码 可以直接使用,适用modelsim-lcd verilog HDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:199976
    • 提供者:xiedongliang
  1. taxi

    1下载:
  2. 用verilog写的基于cpld的出租车计费器的源码,需要的参考一下-Use verilog to write a taxi based cpld billing device source code, need to refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1282030
    • 提供者:许璐璐
  1. ram

    0下载:
  2. 用FPGA做的RAM,源码,调试通过,有工程-FPGA to do with RAM, source code, debugging through, there are works
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:452217
    • 提供者:马泽龙
  1. uart_test_ok_921

    0下载:
  2. 一个简单的uart 源码,接收一个字符并发回,通过测试,可以使用的,输入时钟12mhz,发送速率96-A simple uart source code, receiving a character and send back through the test, can be used, input clock 12mhz, sending rate 9600
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:379415
    • 提供者:wmd
  1. uart_0910

    0下载:
  2. uart串口传输的verilog RTL级源码,已通过仿真验证。文件主要包含发送、接受位处理,发送、接受字节帧处理,对学习串口通信的朋友很有帮助-uart serial transmission verilog RTL-level source code has been verified by simulation. File mainly contains the send, receive digital processing, sending, receiving bytes of fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7208
    • 提供者:李鹏
  1. SDRAM_design_source

    0下载:
  2. sdram的设计文档和参考源码。嵌入式开发中很难找到的源码。-sdram design documents and source code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:159700
    • 提供者:wisebear
  1. Ethernet

    0下载:
  2. 100base-t4中继器源码!实现8端口100BASE-T4半双工中继器。-100base-t4 Ethernet repeater
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:56447
    • 提供者:周学勋
  1. fft

    0下载:
  2. FPGA的FFT变换源码(vhdl语言版)-THE CODE OF fft ,FPGA(VHDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:459997
    • 提供者:王允
  1. FPGA_Book_cd

    0下载:
  2. 《无线通信FPGA设计》包含的所有例子源码,包括matlab仿真和verilog源码,本书内容还是非常丰富的,涉及无线通信领域各个方面。不过对于一些比较新的技术,其FPGA实现部分过于简略,难以在工程中实用化。-" Wireless FPGA Design" contains all the examples source code, including the matlab simulation and verilog source code, the contents of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1513770
    • 提供者:呙涛
  1. CAN_I2C_USB_yuanma

    0下载:
  2. CAN总线,I2C,USB等的FPGA实现源码,可以利用原有代码,快速开发出自己的代码,物有所值-CAN bus, I2C, USB, etc. FPGA implementation source code, we can use the original code, and to quickly develop its own code, value for money
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1508055
    • 提供者:hongliang
  1. UART

    0下载:
  2. 用VHDL编写实现的UART控制器源码,自带testbench,解压后用ISE打开工程文件即可。-Prepared with the VHDL source code to achieve the UART controller, bring their own testbench, after decompression project file can be opened with the ISE.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:25846
    • 提供者:陈阳
  1. USB

    0下载:
  2. 用VHDL编写实现的USB接口控制器源码,自带testbench,解压后用ISE打开工程文件即可。-Prepared with the VHDL source code to achieve the USB interface controller, bring their own testbench, after decompression project file can be opened with the ISE.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:156625
    • 提供者:陈阳
  1. canbus

    1下载:
  2. 用verilog编写实现的CAN总线控制器源码,自带testbench,解压后用ISE打开工程文件即可。-Prepared with the verilog source code to achieve the CAN bus controller, bring their own testbench, after decompression project file can be opened with the ISE.
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-13
    • 文件大小:1079380
    • 提供者:陈阳
  1. mac_controller

    1下载:
  2. 用verilog编写实现的以太网控制器(MAC)源码,解压后用ISE打开工程即可。-Prepared using verilog implementation Ethernet Controller (MAC) source code, open the project after decompression can be used ISE.
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-04-03
    • 文件大小:142701
    • 提供者:陈阳
  1. cpldbus51

    0下载:
  2. 51单片机与cpld总线连接vhdl源码-51 SCM and cpld bus connection vhdl source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1735
    • 提供者:邓忠飞
  1. watchdog

    2下载:
  2. 看门狗定时器Verilog源码;用于MCU的辅助模块,定时特定的时间来做硬件复位,是用于避免固件跑死的一个机制。-Watchdog verilog source.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4340
    • 提供者:郑佛少
  1. Channel_Equalizer

    0下载:
  2. 802.11a接收机的信道均衡源码,verilog语言的-802.11a receiver channel equalizer source, verilog language
  3. 所属分类:3G develop

    • 发布日期:2017-03-31
    • 文件大小:226041
    • 提供者:zhaohaishun
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 32 »
搜珍网 www.dssz.com