CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog

搜索资源列表

  1. verilog分享--verilog快速掌握之模块例化

    1下载:
  2. 快速掌握verilog实例化分享程序,对于使用verilog编写的固件,需要功能划分,体现实例化的用处,便于归档提取,以备再次使用(Quickly grasp the Verilog instantiation sharing program, for the use of Verilog firmware, the need for functional division, to reflect the usefulness of instantiation, easy to archive
  3. 所属分类:文章/文档

    • 发布日期:2017-12-27
    • 文件大小:185344
    • 提供者:qing wang
  1. RS编译码器verilog

    2下载:
  2. 本设计提供RS(255,247)码的编码和解码的Verilog源代码。 已验证0~4个错误的编码与解码功能。
  3. 所属分类:硬件设计

    • 发布日期:2017-10-19
    • 文件大小:8406
    • 提供者:fengbobo
  1. Verilog-HDL基础知识非常好的学习教程

    0下载:
  2. Verilog-HDL基础知识非常好的学习教程(Verilog-HDL basic knowledge, a very good learning tutorial)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:569344
    • 提供者:芮芊
  1. verilog黄金参考指南中文版

    0下载:
  2. verilog 非常好的中文指南编程技巧,很详细(Verilog very good guide to Chinese programming skills, very detailed)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:481280
    • 提供者:芮芊
  1. 8b10b Verilog

    2下载:
  2. 采用verilog语言基于查找表描述8b10b编码源代码(Using Verilog language to describe 8B10B encoding source code based on look-up table)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:臭猴子
  1. 夏宇闻-Verilog经典教程

    0下载:
  2. 夏宇闻verilog经典教程,初学者必不可少的学习资料(Xia Wen Verilog classic tutorial, beginners essential learning materials)
  3. 所属分类:VHDL/FPGA/Verilog

  1. Verilog数字系统设计教程(第二版)夏宇闻

    0下载:
  2. Verilog学习基础书籍,推荐其第四部分作为手册查阅(Verilog based learning books, recommended the fourth part as handbooks)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:7140352
    • 提供者:Yudaker
  1. 夏宇闻-Verilog经典教程

    0下载:
  2. verilog经典教程,对于新手有很大的帮助(Verilog classic tutorials, a great help for beginners)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:1326080
    • 提供者:diangun
  1. IIC从机verilog代码

    1下载:
  2. 亲测可用Verilog代码哦,IIC从机,拿来即用66666666666
  3. 所属分类:嵌入式/单片机编程

  1. verilog四则运算器

    0下载:
  2. verilog四则运算,包括加法器、乘法器、除法器,不过都是拾人牙慧,整理一下,供新手参考。(Verilog four operations, including the adder, multiplier and divider, but are written, tidy, for novice reference.)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:4096
    • 提供者:风20171201
  1. Verilog第六章

    0下载:
  2. 数字系统设计与Verilog王金明第四版 第六章课后习题参考解析(Digital system design and the fourth edition of Verilog Wang Jinming The sixth chapter after class exercise reference analysis)
  3. 所属分类:书籍源码

    • 发布日期:2018-01-08
    • 文件大小:4155392
    • 提供者:逍貂莫
  1. Verilog秒表设计

    0下载:
  2. 用verilog在basys2开发板上实现一个具有置零、开始、暂停、记忆功能的秒表。(Implement a stopwatch which containing reset,pause,start,memory functions with the verilog on the vivado based on the basys2 development board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:637952
    • 提供者:terriao
  1. CY7C68013 Verilog test

    0下载:
  2. CY7C68013固件程序以及 FPGA测试Verilog程序,源代码(CY7C68013 firmware program FPGA test Verilog program, source code)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:198656
    • 提供者:任小刀
  1. ISCAS`89基准电路下载(包括Verilog和VHDL格式)

    0下载:
  2. SCAS `89 基准电路下载,包括Verilog和VHDL格式。verilog格式30个文件:包括S1238、S13207等;(SCAS `89 benchmark circuit downloads, including Verilog and VHDL formats. Verilog format 30 files: including S1238, S13207 and so on;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:2580480
    • 提供者:tttii
  1. verilog

    0下载:
  2. 8位计数器,可以实现计数器的相关功能,内涵verilog文件和testbench文件(8 bits counter,include v and testbech files ,has the ability of 8 bits counter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:14336
    • 提供者:wow111
  1. SM3算法verilog实现

    0下载:
  2. SM3算法verilog实现,利用alter芯片开发的sm3算法实现(Implementation of SM3 algorithm Verilog and implementation of Sm3 algorithm developed by alter chip)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:3072
    • 提供者:rymm
  1. IEEE Standard for Verilog 2005

    0下载:
  2. this book introduces the use of Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:3137536
    • 提供者:^U^
  1. VHDL-和-Verilog-HDL-的区别

    0下载:
  2. The difference between VHDL and Verilog HDL.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:^U^
  1. verilog中有符号整数说明及除法实现

    1下载:
  2. 说明了verilog中如何处理符号数的除法(verilog signed divided)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:hunter.he
  1. verilog

    0下载:
  2. 里面包括乘法器等多个verilog编码整理,大多数的编码应该都在内(It includes multiple Verilog coding collation, such as multiplier, and most of the codes should be included)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:5120
    • 提供者:羽霜梦琳
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com