CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Modulation

搜索资源列表

  1. 用Verilog语言实现QPSK调制

    3下载:
  2. 用Verilog语言实现QPSK调制,QPSK是一种数字调制方式。它分为绝对相移和相对相移两种。 -Verilog language using QPSK modulation, QPSK is a digital modulation. It is divided into absolute and relative phase shift of the phase shift of two.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:799
    • 提供者:陈华
  1. 16QAM

    2下载:
  2. 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:311091
    • 提供者:由佳彬
  1. BPSK

    4下载:
  2. 八相移键控调制的Verilog程序,给出了各个子模块的程序,实现了信号调制。-Eight-phase shift keying modulation of the Verilog program, each module is given the procedures, the signal modulation.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:40809
    • 提供者:徐向斌
  1. fsk

    1下载:
  2. 用Verilog实现FSK调制,调用IP核实现正弦余弦的调制-Verilog implementation using FSK modulation, called IP core to achieve the modulation sine cosine
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:937
    • 提供者:Sapphire
  1. ASK_modulator

    1下载:
  2. 振幅键控ASK的调制解调Verilog实现,带测试文件-ASK amplitude shift keying modulation and demodulation Verilog implementation, with the test file
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-07
    • 文件大小:40818
    • 提供者:wang
  1. FPGAdezizhixingSPWMboChengXu

    0下载:
  2. 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4576
    • 提供者:小喻
  1. FSK_HDL

    0下载:
  2. 1. FSK调制VHDL程序 --文件名:PL_FSK --功能:基于VHDL硬件描述语言,对基带信号进行FSK调制 -1. FSK modulation VHDL procedures- File Name: PL_FSK- features: VHDL hardware descr iption language based on the base-band signal FSK modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:52183
    • 提供者:huangsong
  1. fsk

    0下载:
  2. 数字通信中的FSK调制解调的原理和过程, 通过用Matlab 对这一过程的编程,分析信号在理想信道和加噪信道中传输时的时域图, 并用蒙特卡罗算法进行仿真。-Digital communications in FSK modulation and demodulation principle and process, through the use of Matlab in the process of programming, analysis of signals in the ideal c
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:3575
    • 提供者:李飞
  1. ofdm_quartus_v72

    1下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:657186
    • 提供者:fancyou
  1. verilog_16QAM

    2下载:
  2. 使用verilog实现全数字16QAM调制器,载波频率1MHZ,数据比特流的速率为100Kbps,-the modulation of 16QAM based on FPGA
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-05
    • 文件大小:2056
    • 提供者:杨明
  1. lqx_fsk_ok

    0下载:
  2. 模块化实现2FSK调制,简单易懂非常适合初学者学习参考.-Modular realization 2FSK modulation, easy to understand reference is suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:315273
    • 提供者:ikhik
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_Ex

    0下载:
  2. 来自于ALTERA官方网站。 本文档详细介绍怎样利用MAX® II CPLD 来实现脉冲宽度调制(PWM)。本设计还利用了MAX II CPLD 的内部用户闪存振荡器,不需要采用专门的外部时钟。 附有verilog源程序。-From ALTERA website. This document details how to use the MAX ® II CPLD to implement pulse width modulation (PWM). This design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:291381
    • 提供者:无小品
  1. BPSK

    1下载:
  2. 用于BPSK调制的自行设计,说明如下: 1.matlab.txt中的程序是matlab平台下的.mat格式。目的是输出一个64*4的矩阵,矩阵的每个元素都为0~255间的整数。矩阵每行的四个数是一个码元的四个抽样点的量化值。但由于当前码元通过升余弦滤波系统时,受到前后共6个码元的共同影响,所以是由6个码元共同决定。这6个码元是随机的,可能是0也可能是1(双极性时可能是-1也可能是+1),故6个码元共2^6=64种情况,所以产生的矩阵是64*4。最后逐行输出这256个数。 2.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:4382
    • 提供者:
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. verilog

    0下载:
  2. 用verilog编写的代码,实现了16QAM调制解调功能-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:8947
    • 提供者:焦栋
  1. MSK-Verilog

    2下载:
  2. mak调制的fpga实现程序,基于fpga的vl文件,很好用,实用-mak modulation of fpga implementation procedures based fpga vl file, useful, practical
  3. 所属分类:MPI

    • 发布日期:2015-01-18
    • 文件大小:6144
    • 提供者:高凡
  1. ad9957-verilog

    2下载:
  2. 正交调制芯片,.v文件,但是没有说明文件,只能作为参考-Quadrature modulation chip,. V file, but no documentation, only as a reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1707
    • 提供者:张路平
  1. 16QAM-modulation-based-on-FPGA

    1下载:
  2. 基于FPGA的16QAM调制程序,基于verilog开发环境-16QAM modulation program based on FPGA-based development environment verilog
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:70644
    • 提供者:赵国强
  1. modulation-and-demodulation

    2下载:
  2. 调制与解调系统的FPGA设计实现,包括2-ASK调制和解调,2-FSK调制和解调,2-PSK调制和解调,QPSK调制和解调,PPM调制和解调的verilog源代码。-FPGA design implementation of modulation and demodulation system, including 2-ASK modulation and demodulation, 2-FSK modulation and demodulation, 2-PSK modulation and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5398
    • 提供者:xuweiwei
  1. modulation-and-demodulation

    0下载:
  2. 通过verilog语言实现各种基本信号的调制解调过程,包括2psk,qpsk,ppm(Realize the modulation and demodulation process of various basic signals through verilog language, including 2psk, qpsk, ppm)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-06
    • 文件大小:5120
    • 提供者:好好学习8
« 1 23 4 5 6 »
搜珍网 www.dssz.com