CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - coin

搜索资源列表

  1. VIP_Launcher_V.12

    1下载:
  2. Working Cash Coin File rf online
  3. 所属分类:其他

    • 发布日期:2018-05-04
    • 文件大小:1869824
    • 提供者:hbsilva
  1. 使用vue大发彩票各地11选5平台源码下载

    0下载:
  2. 人数限制:人满后他人无法进入。 分成比例:用来计算私人房间房主的分成比例,目前只是显示,盈利不直接计入私人账号,由管理员自行处理。 奖池奖金:这是接龙中专用的数据,例如一个包30个金币,抽2金币服务费,1金币累计奖金,若玩家摸到有奖励的数值,比如顺子等从该奖池发出奖金。这是房间的奖池累计值。(Number limit: people can't enter after people are full. Split ratio: used to calculate the proportio
  3. 所属分类:WEB开发

  1. NEW

    6下载:
  2. Verilog投币式手机充电仪 清华大学数字电子技术基础课程EDA大作业。刚上电数码管全灭,按开始键后,数码管显示全为0。输入一定数额,数码管显示该数额的两倍对应的时间,按确认后开始倒计时。输入数额最多为20。若10秒没有按键,数码管全灭。(Verilog coin operated cell phone charger EDA major homework of digital electronic technology foundation course, Tsinghua Un
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-27
    • 文件大小:18432
    • 提供者:jameskk
  1. 志汇同城10.5

    0下载:
  2. 付费发帖+帖子置顶+商家入驻+同城圈子+同城拼车+红包福利+同城分销+金币商城+五折卡+同城活动+..... 主要功能: 分类资讯、同城发帖、商家入驻、商家展示、同城活动、同城合伙人、同城资讯、红包福利、同城拼车、拼团功能、积分商城、手机端发布商品、商城功能、五折卡...等百余项功能!!!(Pay posting + posting Top + merchants stationed + city circle + city carpool + red envelope welfar
  3. 所属分类:PHP编程

    • 发布日期:2020-05-10
    • 文件大小:16468992
    • 提供者:heiners
  1. FH

    2下载:
  2. 魔力宝贝后台管理系统 繁华端,1、含前台注册玩家账户管理;2、后台admin管理,对玩家账户进行查询、封号、删除、查询魔币+道具等功能;4、默认用户admin 123456(Magic Baby background management system flourishing end, 1, including the front registered player account management; 2, background admin management, the player ac
  3. 所属分类:其他

    • 发布日期:2020-09-25
    • 文件大小:4337664
    • 提供者:丿丶情伤
  1. phone_charge

    1下载:
  2. 投币式手机充电仪(另一个版本) 分为时间显示和金额显示两部分 根据投入的金额显示两倍时间,可随时清零,确定后倒计时,结束后自动返回初始状态(Coin-operated mobile phone charger(Another version) It is divided into two parts: time display and amount display. According to the amount of input, it shows twice the time. It can
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-24
    • 文件大小:1864704
    • 提供者:ctrlwdza
  1. WindowsFade

    0下载:
  2. 一个C# Winform 的窗体,实现了退出淡出的动画效果,实现了仿哔哩哔哩投币的动画。(A form of C# WinForm, which realizes the animation effect of exit fade out and the animation of imitating BiliBili to coin)
  3. 所属分类:C#编程

    • 发布日期:2021-03-23
    • 文件大小:1329152
    • 提供者:篮球少年
  1. cheat-engine-7.1(1)

    0下载:
  2. CheatEngine又称CE修改器,CheatEngine(ce修改器)是一款内存修改编辑工具,支持目前各种主流游戏的内存数据修改,比如无限金币、生命、魔法等,功能很强大操作(Cheatengine is also known as CE modifier. Cheatengine (CE modifier) is a memory modification and editing tool, which supports memory data modification of various
  3. 所属分类:外挂编程

  1. EDA-2

    1下载:
  2. 数字电子技术基础课程的第二次EDA作业,内容是投币充电仪。(The second EDA assignment of basic course of digital electronic technology is coin charger.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-24
    • 文件大小:28672
    • 提供者:smcgorange
« 1 2 ... 15 16 17 18 19 20»
搜珍网 www.dssz.com